<< مقالات لاتين فني مهندسي >>
<< بر اساس عنوان >>
1
A Fast Transient Response Single Phase Active Power Filter
2
A fast transient response synchronous Buck converter with modified ripple-based control (MRBC) technique
3
A fast transient response technique for DPWM DC-DC converters
4
A fast transient response voltage mode buck converter with an adaptive ramp generator
5
A fast transient simulation based on Model Order Reduction and RLCG-MNA formulation
6
A fast transient simulation model of DFIG based on the piecewise averaging method
7
A fast transient simulation model of the DFIG based on the switching-function model of the VSC
8
A fast transient stability screening and ranking tool
9
A Fast Transient-Current Control Strategy in Sensorless Vector-Controlled Permanent Magnet Synchronous Motor
10
A fast transistor-chaining algorithm for CMOS cell layout
11
A fast translation method for paging on top of segmentation
12
A fast transmit power control based on Markov transition for DS-CDMA mobile radio
13
A fast transversal filter for adaptive line enhancement
14
A fast transversal filter for the numerical factorization of polynomials
15
A Fast Tree Pattern Matching Algorithm for XML Query
16
A fast tree-based search algorithm for cluster search engine
17
A fast trellis-based rate-allocation algorithm for robust transmission of progressively coded images over noisy channels
18
A fast trellis-based rate-allocation algorithm for robust transmission of progressively coded images over noisy channels
19
A fast trend extraction for the analysis of temperature data
20
A fast Triangular mesh generation Method and its engineering application
21
A fast triangular transform and its applications
22
A Fast Trilateral Filter-Based Adaptive Support Weight Method for Stereo Matching
23
A fast tunable high resolution filter
24
A fast tunable parallel optical delay line
25
A fast tunable semiconductor laser for FBG sensor interrogation systems
26
A fast turn-around time process for fabrication of qubit circuits
27
A Fast Turning Method for Biped Robots With Foot Slip During Single-Support Phase
28
A Fast Two Dimensional Deblocking Filter for H.264/AVC Video Coding
29
A fast two dimensional image based grasp planner
30
A Fast Two Stage Detector for Spectrum Sensing in Cognitive Radios
31
A Fast Two-Bit Quantiser for Use in High Speed A to D Converter Systems
32
A fast two-channel projection algorithm for stereophonic acoustic echo cancellation
33
A fast two-dimension Discrete Fractional Fourier Transform algorithm and its application on digital watermarking
34
A fast two-dimensional entropic thresholding algorithm
35
A fast two-dimensional FDTD full-wave analyser with adaptive mesh size
36
A fast two-dimensional median filtering algorithm
37
A fast two-dimensional quasi-Newton adaptive filter
38
A fast two-level logic minimizer
39
A fast two-level Speaker Identification method employing sparse representation and GMM-based methods
40
A fast two-microphone noise reduction algorithm based on power level ratio for mobile phone
41
A fast two-pass HDL simulation with on-demand dump
42
A fast two-stage algorithm for realizing matching pursuit
43
A fast two-stage classification method for high-dimensional remote sensing data
44
A fast two-stage classification method of support vector machines
45
A Fast Two-Stage Dynamic Programming Algorithm for Change-Points Model with Application in Speech Signal
46
A fast two-stage OMP algorithm for coding stereo image residuals
47
A Fast Two-Stage Sample-and-Hold Amplifier for Pipelined ADC Application
48
A fast two-step coarse-fine calibration (CFC) technique for precision comparator design
49
A fast two-step deblurring method for satellite images
50
A fast two-step marker-controlled watershed image segmentation method
51
A fast two-step search algorithm for half-pixel motion estimation
52
A Fast Two-Step Topology Reconfiguration Algorithm for Core-Level Fault Tolerance in NoCs
53
A fast two-way IP lookup algorithm based multibit-trie
54
A fast UAV image stitching method on GeoSOT
55
A fast U-D factorization-based learning algorithm with applications to nonlinear system modeling and identification
56
A fast ultrasound molecular imaging method and its 3D visualization in vivo
57
A Fast ULV Logic Synthesis Flow in Many- V_{t} CMOS Processes for Minimum Energy Under Timing Constraints
58
A Fast Unambiguous Acquisition Algorithm for BOC-Modulated Signals
59
A fast unambiguous acquisition algorithm for sine-BOC modulated signals
60
A fast unblocking scheme for distance protection to identify symmetrical fault occurring during power swings
61
A fast universal power module layout method
62
A fast untestability proof for SAT-based ATPG
63
A fast update scheme for TCAM-based IPv6 routing lookup architecture
64
A Fast Updated Frequent Pattern Tree
65
A fast URL lookup engine for content-aware multi-gigabit switches
66
A Fast UWB Timing Acquisition Scheme with Robustness to Multiple Access Interference
67
A Fast Vaccine Distribution Mechanism in Worm Defense System for Mobile Network
68
A fast variable block size motion estimation algorithm with refined search range for a two-layer data reuse scheme
69
A Fast Variable Precision Template Matching Algorithm Based on Hybrid Genetic Algorithm
70
A Fast Variable Step-Size LMS Algorithm with System Identification
71
A fast variable structure current controller for an induction machine drive
72
A fast variable structure current controller for an induction machine drive
73
A fast variable transition time pulse generating circuit
74
A fast variable-length decoder using plane separation
75
A fast variable-length decoder with optimized lookup tables on FPGA [MPEG applications]
76
A fast variance-ordered domain block search algorithm for fractal encoding
77
A fast variational approach for Bayesian compressive sensing with informative priors
78
A fast variational fusion approach for pan-sharpening
79
A fast vector quantization encoder for video coding
80
A Fast Vector Quantization Encoder for Video Coding
81
A fast vector quantization encoding method for image compression
82
A fast vector reuse verification method for standard cell library
83
A fast vector-potential method using tangentially continuous vector finite elements
84
A fast vehicle top-view system
85
A fast vein display device based on the camera-projector system
86
A Fast Vessel Centerline Extraction Algorithm for Catheter Simulation
87
A Fast Video Decoding Technique by Means of Converting Input Video Stream into Forward-Oriented Format Stream in Little-Endian Systems
88
A fast video encryption scheme based-on chaos
89
A fast video encryption scheme suitable for network applications
90
A fast video frame segmentation scheme
91
A fast video image defogging algorithm based on dark channel prior
92
A Fast Video Motion Estimation Algorithm for the H.264 Standard
93
A Fast Video Noise Reduction Method by Using Object-Based Temporal Filtering
94
A fast video stabilization algorithm based on block matching and edge completion
95
A fast video stabilization algorithm with unexpected motion prediction strategy
96
A fast video stitching method for motion-compensated frames in compressed video streams
97
A fast video-on-demand broadcasting scheme for popular videos
98
A Fast View-Temporal Prediction Algorithm for Stereoscopic Video Coding
99
A fast virtual device framework for improving RTL verification efficiency
100
A Fast visible camera Divertor-imaging diagnostic on DIII-D
101
A fast vision-based road following strategy applied to the control of aerial robots
102
A fast visual line segment tracker
103
A Fast Visual Odometry and Mapping System for RGB-D Cameras
104
A fast visual tracking algorithm based on circle pixels matching
105
A fast visualized computation method for LRCS
106
A fast Viterbi decoding using the information of most-frequency symbol of convolutional code
107
A fast VLSI adder architecture
108
A fast VLSI architecture for full-search variable block size motion estimation in MPEG-4 AVC/H.264
109
A fast VLSI architecture for two-dimensional discrete wavelet transform based on lifting scheme [image compression applications]
110
A fast VLSI chip for computing the two-dimensional discrete cosine transform
111
A Fast VLSI Design of SMS4 Cipher Based on Twisted BDD S-box Architecture
112
A fast VLSI implementation of sorting algorithm for standard median filters
113
A Fast VLSI Multiplier for GF(2m)
114
A fast VLSI preamplifier for segmented HPGe γ-ray detectors
115
A fast VLSI-efficient self-routing permutation network
116
A fast vocabulary independent algorithm for spotting words in speech
117
A Fast Void Detection Algorithm for Three-Dimensional Deposition Simulation
118
A fast voltage balance curve of duty cycle distribution for cascaded rectifier stage based on two dimensional modulation
119
A Fast Voltage Clamp Circuit for the Accurate Measurement of the Dynamic ON-Resistance of Power Transistors
120
A fast voltage sag detector based on peak detection
121
A fast voltage security assessment method using adaptive bounding
122
A fast voltage security assessment method using adaptive bounding
123
A fast voltage security assessment method via extended Ward equivalent and neural network approach
124
A Fast Volume Conductor Segmentation and Modeling Pipeline for NICE
125
A fast volume integral equation solver for electromagnetic scattering from large inhomogeneous objects in planarly layered media
126
A fast volume integral equation solver for electromagnetic simulation with complex voxel based magnetodielectric human model in MRI applications
127
A fast volume rendering algorithm for time-varying fields using a time-space partitioning (TSP) tree
128
A fast volume rendering method for time-varying 3-D scalar field visualization using orthonormal wavelets
129
A Fast Volume-Gradient-Based Band Selection Method for Hyperspectral Image
130
A fast volume-surface integral equation solver for radiation and scattering from wire antennas, IBC surfaces and inhomogeneous dielectric objects
131
A fast volume-surface integral equation solver for scattering from composite conducting-dielectric objects
132
A fast VP restoration scheme using ring-shaped sharable backup VPs
133
A fast VQ codebook design algorithm for a large number of data
134
A fast VQ codeword search algorithm for AMR Wideband speech codec
135
A fast VSELP speech coder based on mutually orthonormal regular pulse vectors
136
A Fast VUV Light Pulser for Testing Ring-Imaging Cerenkov Counters
137
A fast wafer-level screening test for VLSI metallization
138
A Fast Wafer-level Test For Screening VLSI Metallization
139
A fast wake-up power gating technique with inducing a balanced rush current
140
A Fast Warm-up Monochrome Picture Tube for Solid-State Receivers
141
A Fast Warmup Oscillator for the GPS Receiver
142
A Fast Warmup Quartz Crystal Oscillator
143
A Fast Warmup, SC Cut Crystal Oscillator
144
A fast warping algorithm for correcting local distortions in binary images
145
A fast Watermarking Algorithm based on quantum evolutionary algorithm
146
A Fast Watermarking Algorithm for Image Authentication
147
A Fast Watermarking System for H.264/AVC Video
148
A Fast Watermarking Technology for Compressed Video
149
A Fast Watershed-Based Image Segmentation Algorithm Using Local Merging Strategy
150
A fast wavelet algorithm on the interval
151
A Fast Wavelet Based Implementation to Calculate Coulomb Potentials on the Cell/B.E.
152
A Fast Wavelet Based Low Memory Embedded Block Coding Algorithm
153
A fast wavelet collocation method for high-speed circuit simulation
154
A fast wavelet collocation method for high-speed VLSI circuit simulation
155
A fast wavelet denoising method
156
A fast wavelet image coder based on contextual coefficient coding
157
A fast wavelet packet and PCA based image indexing and authentication method
158
A fast wavelet packet based blind signal separation
159
A fast wavelet transform-domain LMS algorithm
160
A Fast Wavelet-Based Algorithm for Global and Local Image Sharpness Estimation
161
A fast wavelet-based algorithm for signal recovery from partial Fourier domain information
162
A fast wavelet-based blind signal separation
163
A fast wavelet-based depth estimation algorithm for arbitrary stereo configuration
164
A Fast Wavelet-Based Image Progressive Transmission Method Based on Vector Quantization
165
A fast wavelet-based moment method for solving thin-wire EFIE
166
A Fast Wavelet-Based Reconstruction Method for Magnetic Resonance Imaging
167
A fast wavelet-packet-based algorithm for texture synthesis
168
A Fast Way of Calculating Exact Hypervolumes
169
A Fast way to Design Adaptive Digital High-pass Filters
170
A Fast W-Band Sixport Networkanalyzer
171
A fast weight optimization method in H Loop-shaping Design for single input single output system
172
A fast weighted median algorithm based on Quickselect
173
A fast weighted median filter architecture for image processing
174
A fast weighted subband adaptive algorithm
175
A fast well-conditioned interior point method for predictive control
176
A fast wideband DOA (direction of arrival) estimation algorithm based on improved fourth-order cumulant
177
A fast windowing technique for designing discrete wavelet multitone transceivers exploiting spline functions
178
A Fast Windowing-Based Technique Exploiting Spline Functions for Designing Modulated Filter Banks
179
A fast WLR Test for the evaluation of EEPROM Endurance
180
A Fast Word Retrieval Technique Based on Kernelized Locality Sensitive Hashing
181
A fast word-level statistical estimator of intra-bus crosstalk
182
A fast wrapper feature subset selection method based on binary particle swarm optimization
183
A Fast Z Transformation Algorithm for System Identification
184
A fast zero dead-time single channel analyzer for nuclear spectroscopy applications
185
A fast zero dead-time single channel analyzer for nuclear spectroscopy applications
186
A Fast Zero-Crossing and Constant Fraction Timing Discriminator with Emitter Coupled Integrated Circuits
187
A Fast, 3MV Marx Generator for Megavolt Oil Switch Testing with an Integrated Abramyan Network Design
188
A fast, accurate and digitally calibrate-able logarithmic amplifier for analog predistortion power amplifiers
189
A fast, accurate and robust algorithm to detect fundamental and harmonic sequences
190
A fast, accurate and robust method for joint estimation of frequency and frequency rate
191
A fast, accurate and simple critical path monitor for improving energy-delay product in DVS systems
192
A fast, accurate approximation to log likelihood of Gaussian mixture models
193
A fast, accurate method to segment and retrieve object contours in real images
194
A fast, accurate, and non-statistical method for fault coverage estimation
195
A fast, accurate, and reproducible in-drive measurement of media thermal decay
196
A Fast, Accurate, and Sensitive Method for Measuring Surface Transfer Impedance
197
A fast, analytical estimator for the SEU-induced pulse width in combinational designs
198
A fast, asP*, RGD arbiter
199
A Fast, Bidimensional, Position-Sensitive Parallel Plate Avalanche Counter (PPAC) for Light and Heavy Particles
200
A fast, complex and efficient test implementation of the CNN Universal Machine
201
A Fast, Comprehensive Shot Boundary Determination System
202
A fast, deterministic source of single Cr atoms
203
A fast, digitally controlled flow proportional gas injection system for studies in lung function
204
A fast, efficient and scalable algorithm for perceptual high quality speech coding
205
A fast, efficient approach to implementing SRDF techniques
206
A fast, efficient parallel-acting method of generating functions defined by power series, including logarithm, exponential, and sine, cosine
207
A fast, energy efficient, field programmable threshold-logic array
208
A fast, energy-dependent scatter reduction method for 3D PET imaging
209
A Fast, Feature-based Cluster Algorithm for Information Retrieval
210
A fast, first level, Rφ hardware trigger for the D0 central fiber tracker using field programmable gate arrays
211
A fast, first level, Rφ, hardware trigger for the D0 Central Fiber Tracker using field programmable gate arrays
212
A fast, flexible and robust design method for noisy channel scalar quantization
213
A fast, flexible, particle-system model for cloth draping
214
A fast, general three-dimensional device simulator and its application in a submicron EPROM design study
215
A fast, general-purpose algorithm for reliability evaluation of distributed systems
216
A fast, GPU-based geometrical placement planner for unknown sensor-modelled objects and placement areas
217
A fast, high precision, laser-trimmed FET input operational amplifier
218
A Fast, High Resolution Chirp Transform Spectrometer For Atmospheric Remote Sensing From Space
219
A fast, high resolution CMOS imager for nanosecond light pulse detections
220
A fast, high throughput, and low-cost microfluidic bioassays for detecting HIV
221
A fast, high voltage, high frequency modulator at BNL
222
A fast, high voltage, high frequency modulator at BNL
223
A Fast, High-Current Photomultiplier
224
A fast, high-current pulsed discharge device for the inner-shell excitation of atoms and ions
225
A fast, high-gain silicon photodiode
226
A fast, high-order integral equation solution for the scattering by inhomogeneous objects
227
A fast, high-quality inverse halftoning algorithm for error diffused halftones
228
A fast, inexpensive and scalable hardware acceleration technique for functional simulation
229
A fast, inexpensive means of creating tactile "drawings" of graphs and networks for blind students
230
A fast, iterative, implicit, and single-boundary time-domain finite element-boundary integral solver
231
A fast, latching comparator for 12 bit A/D applications
232
A fast, low complexity image fusion algorithm based on multiscale transforms
233
A fast, low cost method to check for moisture in epoxy molding compound
234
A fast, low loss, electro-optic single photon switch
235
A fast, low power amplifier, shaper and discriminator for high rate straw tracking systems
236
A fast, low power CMOS amplifier on SOI for sensor applications in a radiation environment of up to 20 Mrad(Si)
237
A fast, low power, amplifier-shaper-discriminator for high rate straw tracking systems
238
A fast, low-cost, computer vision approach for tracking surgical tools
239
A fast, low-power logarithm approximation with CMOS VLSI implementation
240
A fast, modular scene understanding system using context-aware object detection
241
A fast, novel, cascadable design for multi-layer networks
242
A fast, numerical circuit-level model of carbon nanotube transistor
243
A fast, on-line algorithm for PCA and its convergence characteristics
244
A fast, on-line collision avoidance method for a kinematically redundant manipulator based on reflex control
245
A fast, parallel spanning tree algorithm for symmetric multiprocessors
246
A fast, parallelized logo detection algorithm on graphics processing units
247
A Fast, Partially Parallelizable Algorithm for Predicting Execution Time of EQL Rule-Based Programs
248
A fast, pipelined implementation of a two-dimensional inverse discrete cosine transform
249
A fast, predictable FPGA with PLLs, dual port SRAMs and active repeaters
250
A fast, programmable, stand-alone pulse generator emulating spectroscopy nuclear events
251
A fast, recursive MIMO state space model identification algorithm
252
A fast, robust algorithm for estimating effective bits of an A/D converter
253
A fast, robust and low bit-rate representation for SIFT and SURF features
254
A fast, robust and scale-independent approach to estimate vessel diameters in intravital fluorescence microscopy images
255
A fast, robust and simple 2-D micro-optical scanner based on contactless magnetostrictive actuation
256
A fast, robust numerical method for solving optimal control problems
257
A fast, robust signaling protocol for enabling highly dynamic optical networks
258
A Fast, Robust Watermark Detection Scheme for Videos Captured on Camera Phones
259
A fast, room temperature, millimeter and submillimeter electric-tunnel effect detector
260
A fast, scaleable FET model that accounts for propagation effects
261
A Fast, Segmentation-Free, Method for Constructing a Biomechanical Model of the Breast from DCE-MRI Data
262
A Fast, Semi-automatic Brain Structure Segmentation Algorithm for Magnetic Resonance Imaging
263
A fast, sensitive ferroelectric infrared radiation detector
264
A fast, sequential decoding algorithm with application to speaker verification
265
A Fast, Sigma–Delta (\\Sigma \\Delta ) Boost DC–DC Converter Tolerant to Wide LC Filter Variations
266
A fast, simple and near-optimal content placement scheme for a large-scale VoD system
267
A fast, simple microcomputer-controlled data acquisition system
268
A fast, simple router for the Data-Intensive Architecture (DIVA) system
269
A fast, simple wafer-level Hall-mobility measurement technique
270
A Fast, Simple, and Low-Cost Data-Acquisition System
271
A Fast, Simplified Frequency-Domain Interpolation Method for the Evaluation of the Frequency and Amplitude of Spectral Components
272
A fast, smooth vector hysteresis model with adjustable interactions
273
A fast, source-synchronous ring-based network-on-chip design
274
A fast, state-graph-based diode switching algorithm for real-time power converter emulators
275
A fast, storage-efficient parallel sorting algorithm
276
A fast, table-lookup algorithm for classifying document images
277
A Fast, Time-Sliced, Multiple Data Bus Structure for Overlapping I/O and CPU Operations
278
A Fast, Two-parameter, PHA System Using Commercially Available NIM And VMEBUS Hardware
279
A fast, two-stage, translational and warping motion compensation scheme
280
A fast, versatile single dual-parameter multichannel analyzer
281
A fast, versatile, CMOS time-to-voltage converter
282
A fast, wide range charge integrator and encoder ASIC for photomultiplier tubes
283
A fast, wide range charge integrator and encoder ASIC for photomultiplier tubes
284
A fast-acquiring blind predictive DFE
285
A fast-acquisition CMOS Phase/Frequency Detector
286
A fast-acquisition demodulation scheme for 2.4 GHz wireless DSSS transceiver VLSI
287
A fast-acquisition PLL using split half-duty sampled feedforward loop filter
288
A Fast-Acting Current Limit for a DC Motor Drive
289
A Fast-Acting DC-Link Voltage Controller for Three-Phase DSTATCOM to Compensate AC and DC Loads
290
A fast-acting detector of infrared light
291
A fast-acting electrolytic switch
292
A Fast-Acting Feedback Loop for Pulse Amplitude Ratio Measurement
293
A fast-adaptive Huffman coding algorithm
294
A fast-adaptive support vector method for full-pixel anomaly detection in hyperspectral images
295
A Fast-Boot Method for Embedded Mobile Linux: Toward a Single-Digit User Sensed Boot Time for Full-Featured Commercial Phones
296
A FASTBUS Based Data Acquisition System for the Di-Lepton Spectrometer at the Bevalac
297
A FASTBUS Buffered Interconnect: What Are the Options?
298
A FASTBUS Controller Module Using a MULTIBUS MPU
299
A FASTBUS Crate Prototype
300
A FASTBUS digital readout module for streamer tubes
301
A FASTBUS flash ADC system for the mark II vertex chamber
302
A FASTBUS Interface for the 3081/E
303
A FASTBUS Logic Analyzer Based on SLAC Snoop Diagnostic Modules
304
A FASTBUS Master Controlled by a Multibus SBC and by a Personal Microcomputer
305
A FASTBUS Processor Interface Using a 68000 Microprocessor
306
A FASTBUS Segment Management and Interface Unit
307
A FASTBUS System Used in a High Energy Experiment
308
A FASTBUS TDC System
309
A Fastbus Trigger Module For The SLD Warm Iron Calorimeter
310
A fastbus trigger module for the SLD Warm Iron Calorimeter
311
A fast-charging pattern search for li-ion batteries with fuzzy-logic-based Taguchi method
312
A fast-computation Hopfield method to economic dispatch of power systems
313
A fast-convergence algorithm for distributed transmit beamforming
314
A fast-convergence and robust digital calibration algorithm for a 14-bit 200-MS/s hybrid pipelined-SAR ADC
315
A Fast-Convergence Decoding Method and Memory-Efficient VLSI Decoder Architecture for Irregular LDPC Codes in the IEEE 802.16e Standards
316
A fast-convergence scheme to update metrics without loop in OSPF networks
317
A fast-converging adaptive FIR technique for channel equalization
318
A Fast-Converging Algorithm for Nonlinear Mapping of High-Dimensional Data to a Plane
319
A fast-converging blind predictive DFE
320
A Fast-Converging Equalizer for Upstream DOCSIS Channels
321
A fast-converging Hamming net used in an offline Chinese character recognition system
322
A Fast-Converging MPPT Technique for Photovoltaic System Under Fast-Varying Solar Irradiation and Load Resistance
323
A fast-converging TCP-equivalent window-averaging rate control scheme
324
A fast-correlation algorithm for swept-frequency seismic data
325
A fast-CSMA based distributed scheduling algorithm under SINR model
326
A fast-decodable code structure for linear dispersion codes
327
A fast-decoupled method for time-domain simulation of power converters
328
A fast-decoupled method for time-domain simulation of power converters
329
A fast-developing and low-cost characterization and test environment for a double axis resonating micromirror
330
A fast-domain decomposition method for electromagnetic scattering analysis of 3-D objects
331
A fast-domain decomposition method for the solution of electromagnetic scattering by large objects
332
A fastened bolt tracking system for a hand-held tool using an inertial measurement unit and a triaxial magnetometer
333
A fastening bolt method based on image recognition
334
A Fastening Tool Tracking System Using an IMU and a Position Sensor With Kalman Filters and a Fuzzy Expert System
335
A faster 2D technique for the design of combinational digital circuits using Genetic Algorithm
336
A Faster 8-Bit Carry Circuit
337
A faster algorithm for calculating hypervolume
338
A Faster Algorithm for Finding Disjoint Ordering of Sets
339
A faster algorithm for gene-duplication problem based on rSPR local search
340
A faster algorithm for rubber-band equivalent transformation for planar VLSI layouts
341
A faster algorithm for the tree containment problem for binary nearly stable phylogenetic networks
342
A faster algorithm for weighted distance tiles
343
A Faster Algorithm of Higher Order Voronoi Diagrams
344
A faster algorithm of minimizing AND-EXOR expressions
345
A faster and accurate method for spectral testing applicable to noncoherent data
346
A Faster and Cheaper Method of Implementing States Observers using Artificial Neural Networks
347
A faster and intelligent steganography detection using Graphics Processing Unit in cloud
348
A Faster Approach for Design of Optimum Gain L-Band Pyramidal Horn Using Adaptive Neuro Fuzzy Inference System (ANFIS)
349
A faster approach to periodic data flipping of SRAM array for NBTI recovery
350
A faster approximate method to identify minimum dominating set
351
A faster approximation technique for predicate abstraction of hybrid systems
352
A faster Arimoto-Blahut algorithm via squeezing
353
A faster B spline snake
354
A faster branch-and-bound algorithm for the block relocation problem
355
A Faster Carrier Pilot Relay System
356
A faster carrier pilot relay system
357
A faster carrier pilot relay system
358
A faster checkpointing and recovery algorithm with a hierarchical storage approach
359
A faster closure algorithm for pattern matching in partial-order event data
360
A faster color-based clustering method for summarizing photos in smartphone
361
A faster compaction algorithm with automatic jog insertion
362
A faster converging snake algorithm to locate object boundaries
363
A faster counterexample minimization algorithm based on refutation analysis
364
A Faster Cutting Plane Method and its Implications for Combinatorial and Convex Optimization
365
A faster deterministic algorithm for minimum spanning trees
366
A faster digitizer system for the Hadron Blind Detector in PHENIX
367
A faster dynamic programming algorithm for exact rectilinear Steiner minimal trees
368
A faster elastic-net algorithm for the traveling salesman problem
369
A Faster Execution Algorithm for Dynamically Controllable STNUs
370
A faster face detection method combining Bayesian and Haar Cascade Classifiers
371
A faster fast fourier transform
372
A faster genetic algorithm for substation location and network design of power distribution systems
373
A faster genetic algorithm to solve Knapsack problem employing fuzzy technique
374
A faster Handover Mechanism using SIP
375
A faster image registration algorithm
376
A faster image registration and stitching algorithm
377
A faster implementation of sequential sorting algorithms using the PARSA methodology
378
A faster improved circuit theory algorithm
379
A faster iterative computation of the centroid of an interval type-2 fuzzy set
380
A faster maximum power point tracker using peak current control
381
A faster MC-CDMA system using a DSP implementation of the FFT
382
A Faster Means to Estimate SAR Values for Mobile Phone Applications
383
A faster measurement strategy of electrical capacitance tomography using less sensing data
384
A faster method for accurate spectral testing without requiring coherent sampling
385
A Faster Method for Chinese Font Recognition Based on Harris Corner
386
A faster method for modeling virtual colony
387
A faster method of computing matrix pythagorean sums
388
A faster method of computing the square root of a matrix
389
A faster ML sphere decoder with competing branches
390
A faster optimal register allocator
391
A Faster Ordered-Subset Convex Algorithm for Iterative Reconstruction
392
A faster parallel algorithm and efficient multithreaded implementations for evaluating betweenness centrality on massive datasets
393
A faster procedure for the noninvasive transient thermal clearance method for skin blood flow measurement and several applications
394
A faster PSPACE algorithm for deciding the existential theory of the reals
395
A Faster SA Method TOSA for Global Placement
396
A Faster Shift Register Alternative to Filter Generators
397
A faster sorting algorithm in the broadcast communication model
398
A Faster Telemeter for Carrier-Current Channels
399
A faster telemeter for carrier-current channels
400
A faster UDP [user datagram protocol]
401
A faster way to compute the noise-adjusted principal components transform matrix
402
A faster, smarter, controllable, greener, distributed Grid - the keys to an advanced Grid that yields higher power quality
403
A faster-than-Nyquist PDM-16QAM scheme enabled by Tomlinson-Harashima precoding
404
A fastest multi-hop routing scheme for information dissemination in Vehicular Communication systems
405
A fast-fading mobile channel measurement system
406
A fast-flow microfluidic paper-based analytic platform through adhesive OHP film-hollowed channels
407
A fast-frequency-switching PLL synthesizer LSI with a numerical phase comparator
408
A fast-hopping single-PLL 3-band MB-OFDM UWB synthesizer
409
A fast-hopping single-PLL 3-band UWB synthesizer in 0.25μm SiGe BiCMOS
410
A fast-implemented recursive inverse adaptive filtering algorithm
411
A fast-imploding aluminum cylindrical plasma as an efficient soft X-Ray source
412
A Fast-Join Mechanism for Inter-Domain Multicasting
413
A Fast-Lock Analog Multiphase Delay-Locked Loop Using a Dual-Slope Technique
414
A fast-lock digital delay-locked loop controller
415
A fast-lock DLL with power-on reset circuit
416
A fast-lock mixed-mode DLL using a 2-b SAR algorithm
417
A fast-lock mixed-mode DLL using a 2-b SAR algorithm
418
A fast-lock mixed-mode DLL with wide-range operation and multiphase outputs
419
A fast-lock mixed-mode DLL with wide-range operation and multiphase outputs
420
A fast-lock PLL with over-tuning control
421
A fast-lock synchronous multi-phase clock generator based on a time-to-digital converter
422
A Fast-Lock Wide-Range Delay-Locked Loop Using Frequency-Range Selector for Multiphase Clock Generator
423
A Fast-Lock, Jitter Filtering All-Digital DLL Based Burst-Mode Memory Interface
424
A Fast-Locked All-Digital Delay-locked Loop with non-50% Input Duty Cycle
425
A Fast-Lock-In ADPLL with High-Resolution and Low-Power DCO for SoC Applications
426
A fast-lock-in wide-range harmonic-free all-digital DLL with a complementary delay line
427
A Fast-Locking ADPLL With Instantaneous Restart Capability in 28-nm CMOS Technology
428
A Fast-Locking Agile Frequency Synthesizer for MIMO Dual-mode WiFi / WiMAX Applications
429
A Fast-Locking All-Digital Deskew Buffer With Duty-Cycle Correction
430
A fast-locking all-digital phase locked loop in 90nm CMOS for Gigascale systems
431
A fast-locking all-digital phase-locked loop with a novel counter-based mode switching controller
432
A Fast-Locking All-Digital Phase-Locked Loop With Dynamic Loop Bandwidth Adjustment
433
A fast-locking and wide-range reversible SAR DLL
434
A Fast-Locking CDR Circuit with an Autonomously Reconfigurable Charge Pump and Loop Filter
435
A fast-locking clock and data recovery circuit with a lock detector loop
436
A fast-locking digital delay line with duty-conservation
437
A fast-locking digital DLL with a high resolution time-to-digital converter
438
A Fast-Locking Digital Phase-Locked Loop
439
A fast-locking phase-locked loop using a seven-state phase frequency detector
440
A fast-locking phase-locked loop using CP control and gated VCO
441
A fast-locking PLL architecture for efficient cycling of power-saving states in mobile electronic devices
442
A fast-locking wide-range all-digital delay-locked loop with a starting SAR-bit prediction mechanism
443
A fast-locking X-band transmission injection-locked DRO
444
A fast-marching time-domain layered finite-element reduction-recovery method for high-frequency VLSI design
445
A Fast-Marching Time-Domain Layered Finite-Element Reduction-Recovery Method for High-Frequency VLSI Design
446
A fast-match approach for robust, faster than real-time speaker diarization
447
A fast-MPPT low-complexity autonomous PV water pumping scheme for PMSM
448
A fast-multiplier generator for FPGAs
449
A fast-multipole domain decomposition integral equation solver for characterizing electromagnetic wave propagation in mine environments
450
A Fast-Neutron Detector for Use as a Criticality Monitor
451
A Fast-Performing Error Simulation of Wideband Radiation Patterns for Large Planar Phased Arrays With Overlapped Subarray Architecture
452
A fast-processing modulation strategy for three-phase four-leg neutral-point-clamped inverter based on the circuit-level decoupling concept
453
A fast-pulse oscilloscope calibration system
454
A fast-ramp superconducting magnet for HGMS
455
A Fast-Reacting and Versatile Optokinetic Stimulus Pattern by Computer Graphics with Application Examples
456
A fast-readout interface circuit for high-value and wide-range resistive chemical sensors
457
A Fast-Recovery Low Dropout Linear Regulator for Any-Type Output Capacitors
458
A fast-response current control strategy to fulfil the peak power requirements for flywheels under DC bus voltage constraint
459
A fast-response current controller for microprocessor-based SCR-DC motor drives
460
A fast-response current controller for microprocessor-based SCR-DC motor drives
461
A fast-response data communications system for airline reservations
462
A Fast-Response DC Motor Speed Control System
463
A fast-response dual-crucible vaporizer for the Genus 1510/1520 MeV ion implanters
464
A fast-response dynamic bandwidth allocation scheme for an Ethernet PON
465
A Fast-Response Dynamic Lightmeter and Its Application
466
A fast-response full-wave magnetic amplifier
467
A fast-response high power factor converter with a single power stage
468
A Fast-Response Hybrid SIMO Power Converter with Adaptive Current Compensation and Minimized Cross-Regulation
469
A Fast-Response Logarithmic Electrometer for Pulse-Reactor Experiments
470
A fast-response low-dropout regulator based on power-efficient low-voltage buffer
471
A Fast-Response Pseudo-PWM Buck Converter With PLL-Based Hysteresis Control
472
A Fast-Response Sliding-Mode Controller for Boost-Type Converters With a Wide Range of Operating Conditions
473
A fast-rise-time excitation system for production of vacuum ultraviolet laser emission
474
A Fast-Roaming Authentication Method Using ID-Based Encryption for the 3G User Roaming between WLANs
475
A fast-rotations based floating point vectoring algorithm
476
A Fast-Sampling, Fixed Coil Array for Measuring the AC Field of Fermilab Booster Corrector Magnets
477
A fast-search algorithm for the predictive split VQ of LPC parameters
478
A fast-search motion estimation method
479
A fast-search motion estimation method and its VLSI architecture
480
A fast-search motion estimation method and its VLSI architecture
481
A fast-serial finite field multiplier without increasing the number of registers
482
A fast-settling 3 V CMOS buffer amplifier
483
A fast-settling CMOS op amp for SC circuits with 90-dB DC gain
484
A fast-settling CMOS op amp with 90 dB DC-gain and 116 MHz unity-gain frequency
485
A fast-settling GaAs enhanced frequency synthesizer
486
A fast-settling GaAs-enhanced frequency synthesizer
487
A fast-settling high linearity auto gain control for broadband OFDM-based PLC system
488
A fast-settling monolithic feedforward op-amp using doublet compression techniques
489
A fast-settling monolithic operational amplifier using doublet compression techniques
490
A fast-settling op amp with low supply current
491
A fast-settling PLL frequency synthesizer with direct frequency presetting
492
A Fast-settling Temperature-Insensitive Voltage Buffer
493
A Fast-Settling Wideband-IF ASK Baseband Circuit for a Wireless Endoscope Capsule
494
A fast-simulation model for post-layout SRAM
495
A FastSLAM algorithm based on the auxiliary particle filter with Stirling Interpolation
496
A Fast-Slow Coincidence System Employing a Multichannel Time Display
497
A fast-space-charge-wave electron beam duplexer
498
A fast-speed pulse detector based on Si-Schottky diode
499
A fast-speed transformer matching method with additional capacitors in CMOS process
500
A Fast-Spinning Stripper MOD II for the Zero Gradient Synchrotron (ZGS) Booster
501
A Fast-Stable Optimization Algorithm for Multi-objective Population Migration
502
A fast-start method for computing the inverse tangent
503
A fast-start rate control mechanism for video streaming applications
504
A Fast-Start, Fault-Tolerant MPI Launcher on Dawning Supercomputers
505
A Fast-Startup TCP Mechanism for VoIP Services in Long-Distance Networks
506
A fast-stretcher for an easy acquisition of the fast component of BaF/sub 2/ detectors signals
507
A fast-switching current-pulse driver for LED backlight
508
A fast-switching diversity- and beam-forming-circuit for S-band satellite reception in fading scenarios
509
A Fast-Switching Frequency Synthesizer for UWB Applications
510
A fast-switching high power C-band ferrite circulator
511
A fast-switching intelligent power MOSFET with thermal protection and negative gate protection
512
A Fast-Switching Low-Loss 12-GHz Microstrip 4-PSK Path Length Modulator
513
A fast-switching low-spurious 6?18 GHz hybrid frequency synthesizer
514
A fast-switching SOI SA-LIGBT without NDR region
515
A fast-switching X-band circulator utilizing ferrite toroids
516
A fast-time simulation environment for airborne merging and spacing research
517
A fast-time simulation study of shared aircraft intent information
518
A Fast-Timing Simulator for Digital MOS Circuits
519
A Fast-Track Feasibility Assessment Methodology for New Product Development in SMEs
520
A fast-track path to kinetic simulations of electromagnetic processes in nonrelativistic quantum plasmas
521
A fast-track standards process
522
A fast-transform basis with hysteretic features
523
A fast-transient buffer with voltage booting technique for LCD column drivers
524
A fast-transient DVS-capable switching converter with ΔIL-emulated hysteretic control
525
A fast-transient LDO based on buffered flipped voltage follower
526
A fast-transient low-dropout regulator with current-feedback-buffer (CFB) for SoC application
527
A Fast-Transient Low-Dropout Regulator With Load-Tracking Impedance Adjustment and Loop-Gain Boosting Technique
528
A fast-transient over-sampled delta-sigma adaptive DC-DC converter for power-efficient noise-sensitive devices
529
A Fast-Transient Quasi-V ^{\\bf 2} Switching Buck Regulator Using AOT Control With a Load Current Correction (LCC) Technique
530
A fast-transient quasi-V2 switching buck regulator using AOT control
531
A fast-transient-response buck converter with Split-Type III compensation and charge-pump circuit technique
532
A fast-transient-response hybrid buck converter with automatic and nearly-seamless loop transition for portable applications
533
A fast-tuned, injection locked, DDS-based local oscillator for the 3.6 to 4.1 GHz frequency range
534
A fast-wavelet solution of electromagnetic scattering by dielectric bodies of revolution
535
A fast-weighted Bayesian bootstrap filter for nonlinear model state estimation
536
A fastwidely-linear QR-decomposition least-squares (FWL-QRD-RLS) algorithm
537
A fast-word organized tunnel-diode memory using voltage-mode selection
538
A fat orthogonal search method for biological time-series analysis and system identification
539
A Father Protocol for Quantum Broadcast Channels
540
A fatigue crack initiation approach for naval welded joints
541
A fatigue detection system with eyeglasses removal
542
A fatigue study of electrical discharge machine (EDM) strain-gage balance materials
543
A fatigue theory for solders
544
A fatigue-free silicon device structure
545
A fatigue-free silicon device structure
546
A fault accommodation strategy based on closed-loop performance monitoring
547
A Fault Analysis Algorithm for Unbalanced Distribution Systems
548
A Fault Analysis and Classifier Framework for Reliability-Aware SRAM-Based FPGA Systems
549
A fault analysis and design consideration of pulsed power supply for high power laser
550
A fault analysis and design consideration of pulsed power supply for high power laser
551
A fault analysis and design consideration of pulsed-power supply for high-power laser
552
A fault analysis method for microgrids consisting of inverter interfaced distributed generators
553
A fault analysis method for synchronous sequential circuits
554
A fault analysis of low voltage winding disconnection of transformer
555
A Fault Analysis Perspective for Testing of Secured SoC Cores
556
A Fault and Damage Tolerant Network for an Advanced Transport Aircraft
557
A Fault and Mobility Tolerant Location Server for Large-scale Ad-hoc Networks
558
A fault attack countermeasure for ECC processor using One-Hot RSD encoding
559
A Fault Attack Emulation Environment to Evaluate Java Card Virtual-Machine Security
560
A fault attack on a hardware-based implementation of the secure hash algorithm SHA-512
561
A Fault Attack on ECDSA
562
A Fault Attack on Pairing-Based Cryptography
563
A fault attack robust TRNG
564
A fault backward reasoning method of complex equipment based on Petri nets
565
A Fault Classification and Localization Method for Three-Terminal Circuits Using Machine Learning
566
A fault classification method based on spectrum of fault component for EHV lines
567
A Fault Classification Method by RBF Neural Network with OLS Learning Procedure
568
A fault classification method by RBF neural network with OLS learning procedure
569
A fault classification method by RBF neural network with OLS learning procedure [PowerPoint Presentation]
570
A fault classification method in power systems using DWT and SVM classifier
571
A fault classification model of modern automotive infotainment system
572
A fault compensation strategy for consensus networks subject to transient and intermittent faults
573
A fault control system using solid state circuit breakers and high temperature superconducting fault current limiters
574
A fault correction technique for phased array antennas
575
A fault coverage-driven partial scan chain selection technique
576
A Fault Criticality Evaluation Framework of Digital Systems for Error Tolerant Video Applications
577
A fault current limiter based on an LC resonant circuit: Design, scale model and prototype field tests
578
A fault current limiter in toroidal form to maximise effective Jc
579
A fault detecting algorithm based on spatio-temporal correlation in wireless sensor network
580
A fault detection & isolation scheme for discrete time Switched Linear Systems
581
A Fault Detection Algorithm Based on Cluster Analysis in Wireless Sensor Networks
582
A fault detection algorithm for turbopump based on lifting wavelet and LMS
583
A Fault Detection Algorithm Using an Adaptive Fading Kalman Filter for Various Types of GNSS Fault
584
A fault detection and accommodation framework for dynamic systems with control effector failures
585
A fault detection and accommodation method applied to an inverted pendulum
586
A fault detection and classification technique based on sequential components
587
A Fault Detection and Classification Technique Based on Sequential Components
588
A fault detection and classification using new distance relay
589
A fault detection and diagnosis approach based on hidden Markov chain model
590
A Fault Detection and Diagnosis Framework for Ambient Intelligent Systems
591
A fault detection and diagnosis scheme for discrete nonlinear system using output probability density estimation
592
A fault detection and diagnosis system based on input and output residual generation scheme for a CSTR benchmark process
593
A fault detection and diagnosis technique for digital microfluidic biochips
594
A fault detection and faulted-phase selection approach for transmission lines with Haar wavelet transform
595
A Fault Detection and Identification System for Gearboxes using Neural Networks
596
A fault detection and isolation algorithm for distribution systems containing distributed generations
597
A fault detection and isolation method for automotive engines
598
A fault detection and isolation method for complex industrial systems
599
A fault detection and isolation methodology
600
A Fault Detection and Isolation Methodology Theory and Application
601
A Fault Detection and Isolation Model Based on Conditional Finite State Machine for Gas Turbine
602
A fault detection and isolation scheme based on parity space method for discrete time-delay system
603
A fault detection and isolation scheme for lateral vehicle dynamics of EVs using a quantitative parity space approach
604
A fault detection and isolation scheme for nonlinear uncertain discrete-time sytems
605
A fault detection and isolation system using GMDH neural networks
606
A Fault Detection and Protection Scheme for Three-Level DC–DC Converters Based on Monitoring Flying Capacitor Voltage
607
A Fault Detection and Reconfigurable Control Architecture for Unmanned Aerial Vehicles
608
A Fault Detection and Recovery Architecture for a Teradevice Dataflow System
609
A fault detection and service restoration method by shifting the feeder tie switch for ungrounded distribution system
610
A Fault Detection and Tolerance Architecture for Post-Silicon Skew Tuning
611
A fault detection and tolerant scheme for back-to-back converters in DFIG-based wind power generation systems
612
A fault detection approach for aero-engines based on PCA
613
A Fault Detection Approach for MPSoC
614
A fault detection approach using both control and output error signals in frequency domain
615
A Fault Detection filter design method for a class of linear time-varying systems
616
A Fault Detection for a Correlated Process with the Use of SPC/EPC/NN Scheme
617
A fault detection mechanism for context-aware systems
618
A Fault Detection Mechanism for Fault-Tolerant SOA-Based Applications
619
A Fault Detection Mechanism for Service-Oriented Architecture Based on Queueing Theory
620
A Fault Detection Mechanism for SOA-Based Applications Based on Gauss Distribution
621
A fault detection mechanism in a Data-flow scheduled Multithreaded processor
622
A fault detection method based on dynamic peakvalley limit under the non-steady conditions
623
A fault detection method based on wavelet theory for satellite power systems
624
A fault detection method for motors based on Local Polynomial Fourier Transform
625
A Fault Detection Method for Wireless Sensor Networks Based on Credible Sensor Nodes Set
626
A fault detection method for wireless sensor networks based on improved LTS regression algorithm
627
A fault detection method using multi-scale kernel principal component analysis
628
A fault detection methodology for discrete nonlinear polynomial systems
629
A fault detection model for mobile communication systems based on linear prediction
630
A fault detection procedure for single phase bridge converters
631
A fault detection scheme based on controlled invariant sets for multisensor systems
632
A Fault Detection Scheme Based on Self-Clustering Nodes Sets for Wireless Sensor Networks
633
A fault detection scheme for discrete-time Markov jump linear systems
634
A fault detection scheme for distributed nonlinear uncertain systems
635
A fault detection scheme of an infusion system in a ventricular assist device
636
A fault detection scheme using condition systems
637
A Fault Detection Service for Cluster-Based Ad Hoc Network
638
A fault detection service for wide area distributed computations
639
A fault detection strategy based on intelligent particle filter for nonlinear systems
640
A Fault Detection System for Large Scale Sensor Networks Considering Reliability of Sensor Data
641
A fault detection technique for dynamical systems
642
A Fault Detection Technique for the Series-Compensated Line During Power Swing
643
A fault detection technique with preconditioned ANN in power systems
644
A Fault Detection Toolbox for MATLAB
645
A fault detection, isolation and reconstruction strategy for a satellite’s attitude control subsystem with redundant reaction wheels
646
A fault detection, isolation, and identification technique for complex MISO linear systems
647
A Fault Determination Algorithm for Relaying Protection System Based on Wide Area Information
648
A fault diagnosis algorithm for asymmetric modular architectures
649
A fault diagnosis algorithm of artificial immune network model based on neighborhood rough set theory
650
A fault diagnosis algorithm using probabilistic neural network with particle fish swarm algorithm
651
A Fault Diagnosis and prognosis LED lighting system for increasing reliability in energy efficient buildings
652
A Fault Diagnosis and Security Framework for Water Systems
653
A fault diagnosis and tolerant method for switched reluctance motor drives
654
A fault diagnosis approach for autonomous spacecraft based on transition-system model
655
A fault diagnosis approach for hybrid systems
656
A fault diagnosis approach for roll bearing based on wavelet-SOFM network
657
A Fault Diagnosis Approach for Rolling Bearings Based on Enhanced Blind Equalization Theory
658
A fault diagnosis approach using SVM with data dimension reduction by PCA and LDA method
659
A fault diagnosis concept for a high-pressure-preheater line
660
A Fault Diagnosis Expert System Base on Artificial Neural Network for Mixed-Signal Circuits
661
A Fault Diagnosis Expert System Based on Aircraft Parameters
662
A fault diagnosis expert system for distribution substations
663
A fault diagnosis expert system for hydraulic system of injection moulding
664
A fault diagnosis mechanism for a proactive maintenance scheme for wireless systems
665
A fault diagnosis method based on ANFIS and bearing fault diagnosis
666
A fault diagnosis method based on AWA-SVM of complicated equipment
667
A Fault Diagnosis Method Based on Clone and Mutation Mechanisms
668
A Fault Diagnosis Method Based on Composite Model and SVM for Fermentation Process
669
A fault diagnosis method based on decision tree for wireless mesh network
670
A Fault Diagnosis Method Based On DTW
671
A Fault Diagnosis Method Based on Wavelet Approximate Entropy for Fan
672
A fault diagnosis method by using extreme learning machine
673
A Fault Diagnosis Method Combined Fuzzy Logic with CMAC Neural Network for Power Transformers
674
A Fault Diagnosis Method Combined Neural Network with Rough Set
675
A Fault Diagnosis Method Combining Rough Sets and Neural Network
676
A Fault Diagnosis Method for Analog Circuit Based on GRBF Network and Frequency Spectrum Analysis
677
A fault diagnosis method for diesel engine based on Adaptive Network-based Fuzzy Inference System
678
A fault diagnosis method for HVAC Air Handling Units considering fault propagation
679
A fault diagnosis method for polymeric reaction process based on soft measuring hybrid model
680
A fault diagnosis method for power system based on multilayer information fusion structure
681
A fault diagnosis method for power transformer using Bayesian data analysis
682
A Fault Diagnosis Method for Power Transformers Based on Wavelet Neural Network and D-S Evidence Theory
683
A fault diagnosis method for rolling bearing based on empirical mode decomposition and homomorphic filtering demodulation
684
A fault diagnosis method for smart home services
685
A Fault Diagnosis Method in Satellite Networks
686
A fault diagnosis method of analog electronic circuits for mixed-signal systems controlled by microcontrollers
687
A fault diagnosis method of communication connectors in wireless receiver front-end circuits
688
A fault diagnosis method of motor based on wavelet transform
689
A Fault Diagnosis Method of Power Systems Based on Improved Objective Function and Genetic Algorithm-Tabu Search
690
A Fault Diagnosis Method of Rolling Bearings Using Empirical Mode Decomposition and Hidden Markov Model
691
A fault diagnosis method of the main transformer in the power train using compound data fusion method
692
A Fault Diagnosis Method to Hydraulic Tube Tester Production Process
693
A fault diagnosis method using Hyper-Ellipsoidal learning based Locally Linear Embedding
694
A fault diagnosis methodology for the UltraSPARCTM-I microprocessor
695
A fault diagnosis model based on Extended Fuzzy Timed Petri Nets applying to gas turbine
696
A Fault Diagnosis Model Based on Language-Valued Reasoning
697
A fault diagnosis model for embedded software based on FMEA/FTA and bayesian network
698
A fault diagnosis model for power transformer based on statistical theory
699
A fault diagnosis model of some radar system based on Petri net
700
A fault diagnosis model through G-K fuzzy clustering
701
A fault diagnosis modeling method combined RBF neural network with rough set theory
702
A fault diagnosis of transmission line spacers based on visible-light images
703
A fault diagnosis prototype for Ethernet LANs
704
A fault diagnosis scheme for simultaneous actuator and sensor failures
705
A Fault diagnosis scheme for three phase induction motors based on uncertainty bounds
706
A fault diagnosis scheme for time-varying fault using output probability density estimation
707
A Fault Diagnosis Strategy using Local Models, Fault Intensity and Boundary Models Based on SDG and Data-Driven Approaches
708
A Fault Diagnosis System Based on Data Fusion Algorithm
709
A fault diagnosis system based on parallel interaction
710
A fault diagnosis system design for space application
711
A Fault Diagnosis System for a Mechanical Reducer Gear-Set Using Wigner-Ville Distribution and an Artificial Neural Network
712
A fault diagnosis system for district heating and cooling facilities
713
A fault diagnosis system for heat pumps
714
A fault diagnosis system for the connected home
715
A Fault Diagnosis System for Turbo-Generator Set by Data Mining
716
A Fault Diagnosis System of Railway Vehicles Axle Based on Translation Invariant Wavelet
717
A fault diagnosis technique for flash ADC´s
718
A Fault Diagnostic Method for EFI Engine Based on MATLAB Software Package
719
A fault effect assessment architecture of advanced intelligent network
720
A Fault Feature Extraction Method for Machine Health Diagnosis Using Manifold Learning
721
A fault generated high frequency current transients based protection scheme for series compensated lines
722
A Fault Grading Methodology for Software-Based Self-Test Programs in Systems-on-Chip
723
A Fault Hypothesis for Integrated Architectures
724
A fault hypothesis study on the TTP/C using VHDL-based and pin-level fault injection techniques
725
A Fault Identification Algorithm for ti-Diagnosable Systems
726
A fault identification approach for analog circuits using fuzzy neural network mixed with genetic algorithms
727
A Fault Inference Mechanism in Sensor Networks Using Markov Chain
728
A fault inject methodology for embedded systems
729
A fault injection analysis of Virtex FPGA TMR design methodology
730
A Fault Injection Approach Based on Operational Profile
731
A fault injection approach based on reflective programming
732
A fault injection description language for compiler-based SFI tools
733
A fault injection environment for microprocessor-based boards
734
A Fault Injection Method for Testing Dependable Web Service Systems
735
A fault injection methodology and infrastructure for fast single event upsets emulation on Xilinx SRAM-based FPGAs
736
A fault injection technique for VHDL behavioral-level models
737
A fault injection tool for SRAM-based FPGAs
738
A fault isolation and test (FIT) subsystem for a hardware suite: a systems engineering case study
739
A fault isolation method based on parity equations with application to a lathe-spindle system
740
A Fault Isolation Method for Nonlinear Dynamic Systems Based on Monotonous Observers
741
A fault isolation method using interval arithmetic for nonlinear dynamic systems
742
A fault isolation procedure for self-validating control loops
743
A fault line selection algorithm in non-solidly earthed network based on holospectrum
744
A fault line selection algorithm using neural network based on S-transform energy
745
A Fault Line-Selection Method of Hybrid Cable-Overhead Line in Distribution Network Based on Morphology Filter and Hilbert-Huang Transform
746
A fault list reduction approach for efficient bridge fault diagnosis
747
A Fault Localization Framework for Dynamically Provisioned Virtual Machines
748
A fault localized scheme for false report filtering in sensor networks
749
A Fault Locating Algorithm for the Resonant Grounding System Based on the Parameter Identification under Charge Transient
750
A fault locating method for batch process based on a compound multilevel flow model
751
A Fault Location Algorithm Based on Circuit Analysis for Untransposed Parallel Transmission Lines
752
A fault location algorithm based on circuit analysis for untransposed parallel transmission lines
753
A fault location algorithm based on distributed neutral-to-ground current sensor measurements
754
A fault location algorithm for series compensated transmission lines incorporated in current differential protective relays
755
A fault location algorithm for transmission line based on distributed parameter
756
A fault location algorithm for transmission lines with tapped leg-PMU based approach
757
A fault location algorithm for urban distribution network with DG
758
A fault location algorithm using estimated local source impedance
759
A fault location and protection scheme for distribution systems in presence of dg using MLP neural networks
760
A fault location approach for high-impedance grounded DC shipboard power distribution systems
761
A fault location method based on function backtracking and branch trimming
762
A fault location method based on reclosing in distribution systems
763
A fault location method based on traveling wave natural frequency used on ±800kV UHVDC transmission lines
764
A fault location method based on two-terminal unsynchronized data
765
A Fault Location Method for Active Distribution Network with Renewable Sources Based on BP Neural Network
766
A fault location method for application with current differential protective relays of series-compensated transmission line
767
A fault location method for double-circuit HVDC transmission lines on the same tower based on mixed modulus
768
A fault location method for extra-high voltage mixed line based on variation of sequence voltage
769
A fault location method for single-phase grounding fault in distribution network
770
A fault location method in distribution network with use of wavelet-based traveling-wave
771
A fault location method of traveling wave for distribution network with only two-phase current transformer using artificial neutral network
772
A fault location scheme based on spectrum characteristic of fault-generated high-frequency transient signals
773
A fault location technique and alternate routing in Benes network
774
A fault location technique for rural distribution feeders
775
A fault location technique for rural distribution feeders
776
A fault location technique for two and three terminal lines using high frequency fault clearing transients
777
A Fault Location Technique for Two-Terminal Multisection Compound Transmission Lines Using Synchronized Phasor Measurements
778
A fault location technique using high frequency fault clearing transients
779
A fault locator for integrated optics
780
A fault locator for radial subtransmission and distribution lines
781
A Fault Locator for Three-Terminal Lines Based on Wavelet Transform Applied to Synchronized Current and Voltage Signals
782
A fault locator for transmission lines based on Prony method
783
A fault locator for transmission lines using traveling waves and wavelet transform theory
784
A Fault Management Architecture for Wireless Sensor Network
785
A fault management module for IEEE LANs
786
A fault management protocol for TTP/C
787
A fault management system for longitudinal vehicle control in AHS
788
A fault model and a test method for analog fuzzy logic circuits
789
A fault model for conducted intentional electromagnetic interferences
790
A fault model for fault injection analysis of dynamic UML specifications
791
A fault model for function and delay testing
792
A fault model for PLAs
793
A fault model for PLAs
794
A fault model for subtype inheritance and polymorphism
795
A fault model for switch-level simulation of gate-to-drain shorts
796
A fault model for VHDL descriptions at the register transfer level
797
A Fault Model Framework for Quality Assurance
798
A fault model notation and error-control scheme for switch-to-switch buses in a network-on-chip
799
A fault modeling technique to test memory BIST algorithms
800
A fault monitoring architecture for the diagnosis of hardware and software faults in manufacturing systems
801
A fault monitoring circuit for five phase AC motor
802
A fault oriented partial scan design approach
803
A fault partitioning method in parallel test generation for large scale VLSI circuits
804
A fault phase selection scheme based on ratio of opposite-direction-current to same-direction-current for parallel lines
805
A fault prediction approach for power transformer based on support vector machine
806
A Fault Prediction Approach for Process Plants using Fault Tree Analysis in Sensor Malfunction
807
A fault prediction module for a fault tolerant NoC operation
808
A fault prediction scheme for Takagi-Sugeno fuzzy systems with immeasurable premise variables and disturbance
809
A fault preventive wireless sensor sewer system for urban infrastructure management infusing embedded systems
810
A fault primitive based analysis of linked faults in RAMs
811
A fault prognosis scheme for chemical reaction process using Pseudo-Bond Graph based Bayesian network
812
A Fault Program with Macros, Monitors, and Direct Compensation in Mutual Groups
813
A Fault Program with Macros, Monitors, and Direct Compensation in Mutual Groups
814
A Fault Propagation Approach for Highly Distributed Service Compositions
815
A Fault Propagation Model for Embedded Software
816
A fault protection scheme for series active compensators
817
A fault protection scheme for series active power filters
818
A fault protection scheme for series active power filters
819
A fault protection scheme for unified power quality conditioners
820
A Fault Recovery Approach in Fault-Tolerant Processor
821
A fault recovery mechanism for a QoS-guaranteed multicast routing protocol
822
A fault recovery model in IEC/ISA FieldBus communication protocol
823
A fault recovery procedure based on Bayesian reasoning and decision analysis
824
A fault recovery scheme for power-distribution management system
825
A Fault Resilient Architecture for Distributed Cyber-Physical Systems
826
A Fault Resilient Routing Protocol for Mobile Ad-Hoc Networks
827
A Fault Ride-Through Technique of DFIG Wind Turbine Systems Using Dynamic Voltage Restorers
828
A fault section detection method using ZCT when a single phase to ground fault in ungrounded distribution system
829
A fault section location technique for distribution systems based on wide-area fault information fuzzy clustering analysis
830
A fault secure dictionary machine
831
A fault signature approach to analog devices testing
832
A fault simulation based test pattern generator for synchronous sequential circuits
833
A fault simulation method based on stem regions
834
A fault simulation method for crosstalk faults in synchronous sequential circuits
835
A fault simulation methodology for MEMS
836
A Fault Simulation Methodology for VLSI
837
A Fault Simulator for MOS LSI Circuits
838
A Fault Steady State Component-Based Wide Area Backup Protection Algorithm
839
A Fault Taxonomy for Service-Oriented Architecture
840
A fault tolerance analysis of a neocognitron model serving for network hardware implementation
841
A fault tolerance analysis of Profibus systems by means of generalised stochastic Petri nets
842
A fault tolerance approach for distributed systems using monitoring based replication
843
A Fault Tolerance Approach for Enterprise Applications
844
A fault tolerance approach to computer viruses
845
A fault tolerance approach to survivability
846
A fault tolerance approach to topology control in Distributed Sensor Networks
847
A Fault Tolerance Aware Synthesis Methodology for Threshold Logic Gate Networks
848
A fault tolerance framework for CORBA
849
A Fault Tolerance Framework for High Performance Computing in Cloud
850
A Fault Tolerance Honeypots Network for Securing E-government
851
A fault tolerance infrastructure for dependable computing with high-performance COTS components
852
A fault tolerance infrastructure for high-performance COTS-based computing in dependable space systems
853
A Fault Tolerance Management Framework for Wireless Sensor Networks
854
A fault tolerance mechanism for network intrusion detection system based on intelligent agents (NIDIA)
855
A Fault Tolerance Optimal Neighbor Load Balancing Algorithm for Grid Environment
856
A fault tolerance procedure for P2P online games
857
A Fault Tolerance Protocol with Fast Fault Recovery
858
A Fault Tolerance Scheduling Algorithm for Parallel Terrain Analysis
859
A Fault Tolerance Scheme for Hierarchical Dynamic Schedulers in Grids
860
A fault tolerant (√N) algorithm for distributed mutual exclusion
861
A Fault Tolerant adaptive control for robot manipulators
862
A Fault Tolerant Adaptive Method for the Scheduling of Tasks in Dynamic Grids
863
A fault tolerant adaptive routing algorithm in 2D mesh network on chip
864
A fault tolerant algorithm for distributed mutual exclusion
865
A Fault Tolerant and Multi-Paradigm Grid Architecture for Time Constrained Problems. Application to Option Pricing in Finance.
866
A Fault Tolerant Approach Based on Measurement Indexes for Data Transmission Optimization in Distributed Web Servers Architectures
867
A fault tolerant approach for application-specific Network-on-Chip
868
A fault tolerant approach in cluster computing system
869
A Fault Tolerant Approach to Detect Transient Faults in Microprocessors Based on a Non-Intrusive Reconfigurable Hardware
870
A fault tolerant approach to extend network life time of wireless sensor network
871
A fault tolerant approach to microprocessor design
872
A Fault Tolerant Approach to State Estimation and Failure Detection in Nonlinear Systems
873
A Fault Tolerant Architecture for Data Fusion Targeting Hardware and Software Faults
874
A fault tolerant architecture for web services
875
A fault tolerant ATM switching architecture
876
A Fault Tolerant Bufferless Optical Interconnection Network
877
A fault tolerant bus interface unit based on the Nubus standard
878
A Fault Tolerant Channel Allocation Scheme for Mobile Hosts in GMPLS-Based Wavelength-Switched Optical Networks
879
A fault tolerant Chinese bank check recognition system
880
A fault tolerant Chinese bank check recognition system based on SOM neural networks
881
A fault tolerant closed-loop anesthesia system based on internal model control and extended state observer
882
A fault tolerant coloured Petri net resource allocation manager for manufacturing systems
883
A fault tolerant communication architecture supporting critical monitoring with Wireless Sensor Networks
884
A Fault Tolerant Comparison Internet Shopping System: BestDeal by Using Mobile Agent
885
A fault tolerant control and power electronic for a permanent magnet synchronous motor drive
886
A fault tolerant control and sensor network with predictable real time QoS
887
A fault tolerant control approach for descriptor systems
888
A fault tolerant control architecture for automated highway systems
889
A fault tolerant control design for automatic steering control of ground vehicles
890
A Fault Tolerant Control Design for Induction Motors
891
A fault tolerant control law system for combat aircraft
892
A fault tolerant control scheme based on sensor-actuation channel switching and dwell time
893
A fault tolerant control scheme for collaborative two sub-systems
894
A Fault Tolerant Control strategy for an unmanned aerial vehicle based on a Sequential Quadratic Programming algorithm
895
A fault tolerant control strategy for linear systems subject to a class of faults
896
A fault tolerant control strategy for linear systems subject to a class of faults
897
A fault tolerant control strategy for quadrotor UAVs based on trajectory linearization approach
898
A fault tolerant control strategy for ship stabilization using ballast systems
899
A fault tolerant control strategy for six-phase transverse flux tubular PMLM based on synthetic vector method
900
A fault tolerant control system for hexagram inverter motor drive
901
A fault tolerant control system for the output stabilization of SISO plants with actuator uncertain hysteresis nonlinearities
902
A fault tolerant controller based on neural nets
903
A fault tolerant converter topology for wind energy conversion system with doubly fed induction generator
904
A Fault Tolerant Design Methodology for Threshold Logic Gates and Its Optimizations
905
A fault tolerant digital controller for power electronic applications
906
A fault tolerant distributed parallel processing system on LAN workstations
907
A Fault Tolerant Doubly Fed Induction Generator Wind Turbine Using a Parallel Grid Side Rectifier and Series Grid Side Converter
908
A fault tolerant drive for high speed permanent magnet machines
909
A fault tolerant dual inverter configuration for islanded mode photovoltaic generation system
910
A Fault Tolerant Dynamic Clustering Protocol of Wireless Sensor Networks
911
A Fault Tolerant Election Protocol in Asynchronous Distributed Systems with Fail-Stop Model
912
A fault tolerant electric drive for an aircraft nose wheel steering actuator
913
A fault tolerant e-motor drive system for auxiliary services in hybrid electric light commercial vehicle
914
A fault tolerant filter applied on magnetometer-based autonomous satellite navigation
915
A fault tolerant FIR adaptive filter
916
A fault tolerant FIR adaptive filter based on the FFT
917
A fault tolerant fly by wire system for maintenance free applications
918
A Fault Tolerant Four Wheel Steering System
919
A fault tolerant gait for a hexapod robot over uneven terrain
920
A fault tolerant hardware based file system manager for solid state mass memory
921
A fault tolerant hierarchical interconnection network and its bandwidth analysis
922
A Fault Tolerant Hierarchical Network on Chip Router Architecture
923
A fault tolerant hybrid memory structure and memory management algorithms
924
A fault tolerant incremental design methodology
925
A fault tolerant indexed 5B/6B code
926
A fault tolerant induction motor drive system by using a compensation strategy on the PWM-VSI topology
927
A Fault Tolerant Infrastructure for Mobile Agen
928
A fault tolerant integral sliding mode control allocation scheme for the RECONFIGURE benchmark problem
929
A fault tolerant joint drive system for the Space Shuttle remote manipulator system
930
A fault tolerant journalized stack processor architecture
931
A fault tolerant K-means algorithm based on storage-class memory
932
A fault tolerant load sharing replicated buffered banyan network
933
A fault tolerant location management for MNANET
934
A fault tolerant manipulator robot based on H2, H, and mixed H2/H
935
A fault tolerant matrix converter motor drive under open phase faults
936
A Fault tolerant mechanism for handling Permanent and Transient Failures in a Network on Chip
937
A Fault Tolerant Memory For Duplex Systems
938
A Fault Tolerant Method for Residue Arithmetic Circuits
939
A fault tolerant microcomputer ring for data acquisition in industrial environments
940
A fault tolerant microcomputer ring for data acquisition in industrial environments
941
A Fault Tolerant Military Satellite Network Management System
942
A fault tolerant model for a functional language parallel machine
943
A fault tolerant modulation strategy for matrix converters
944
A fault tolerant MOS-LSI for train controller applications
945
A fault tolerant MPI-IO implementation using the Expand parallel file system
946
A Fault Tolerant Multiplexed Control Rod Position Detection and Indication System for Nuclear Power Plants
947
A fault tolerant NoC architecture based upon external router backup paths
948
A Fault Tolerant NoC Architecture for Reliability Improvement and Latency Reduction
949
A fault tolerant NoC architecture with runtime adaptive double layer error control and crosstalk avoidance
950
A fault tolerant object transaction service in CORBA
951
A Fault Tolerant On-Line Bisted SRAM IP-Core
952
A Fault Tolerant Operating System in a Doubly Fed Induction Machine Under Inverter Short-circuit Faults
953
A fault tolerant operation technique for STATCOMs based on star-connected cascaded H-bridges multilevel converter
954
A fault tolerant optimal flight control system
955
A fault tolerant optimal interpolative net
956
A Fault Tolerant Optimization Algorithm based on Evolutionary Computation
957
A fault tolerant parallel-prefix adder for VLSI and FPGA design
958
A fault tolerant peer-to-peer distributed EM algorithm
959
A fault tolerant pervasive model for intelligent transport system
960
A fault tolerant pipelined adaptive filter
961
A Fault Tolerant Power Converter System
962
A fault tolerant protocol as an extension to a distributed mutual exclusion algorithm
963
A fault tolerant protocol for massively parallel systems
964
A Fault Tolerant Protocol for Wireless Sensor Networks
965
A fault tolerant real-time publisher/subscriber inter-process communication architecture
966
A fault tolerant reconfigurable ATM switch fabric
967
A fault tolerant reconfiguration technique for Indirect Field Oriented Control of Induction Motor drive without using Current sensors
968
A fault tolerant replicated storage system
969
A fault tolerant response analyzer with self-error-correction capability
970
A fault tolerant robot manipulator using H2 and H Markovian controls
971
A fault tolerant robot manipulator using mixed H2/H Markovian control
972
A Fault Tolerant Scheduling Algorithm for Stochastic Fault Model in Real-Time Operating System
973
A fault tolerant self-scheduling scheme for parallel loops on shared memory systems
974
A fault tolerant signal processing computer
975
A fault tolerant sliding mode controller for accommodating actuator failures.
976
A fault tolerant space vector modulation strategy for matrix converters
977
A fault tolerant state estimation framework with application to UGV navigation in complex terrain
978
A Fault Tolerant Strategy in Hybrid Cloud Based on QPN Performance Model
979
A fault tolerant superheat control strategy for supermarket refrigeration systems
980
A fault tolerant system configuration based on error correcting codes
981
A fault tolerant system for current sensors in induction motor drives
982
A fault tolerant test hardware for L1 cache module in tile CMPs architecture
983
A fault tolerant three-leg shunt active filter using FPGA for fast switch failure detection
984
A Fault Tolerant Topology Control Algorithm for Large-Scale Sensor Networks
985
A fault tolerant topology control in wireless sensor networks
986
A fault tolerant VLSI implementation of a nuclear control rod controller
987
A fault tolerant VoIP implementation based on open standards
988
A Fault Tolerant Volunteer Selection Mechanism Based on Collective Impact of Resources for Volunteer Computing
989
A fault tolerant WDM branching unit for long-haul multiwavelength transmission systems
990
A Fault Tolerant Web Service Architecture
991
A fault tolerant web services architecture based reflection
992
A Fault Tolerant Wired/Wireless Sensor Network Architecture for Monitoring Pipeline Infrastructures
993
A Fault Tolerant Workflow for Reproducible Research
994
A fault tolerant, peer-to-peer replication network
995
A fault tolerent approach in scientific workflow systems based on cloud computing
996
A fault transient comparison technique for multi-ended distribution feeders
997
A Fault Tree Analysis Based Software System Reliability Allocation Using Genetic Algorithm Optimization
998
A Fault Tree Approach to Quality Control by Variables
999
A fault/anomaly system prognosis using a data-driven approach considering uncertainty
1000
A fault-adaptive and observer-based sensorless strategy for a fault-tolerant five-phase BLDC motor
بازگشت