<< مقالات لاتين فني مهندسي >>
<< بر اساس عنوان >>
1
A low noise transimpedance amplifier for 2.5 Gb/s optical communications
2
A Low Noise UHF Surface Transverse Wave Oscillator
3
A Low Noise VCO with Quadrature Prescaler for UHF RFID Reader
4
A low noise vector modulator with integrated basebandfilter in 120 nm CMOS technology
5
A low noise vibration isolated airborne radar synthesizer
6
A low noise wearable wireless ECG system with body motion cancellation for long term homecare
7
A low noise wide dynamic range CMOS image sensor with low-noise transistors and 17b column-parallel ADC
8
A Low Noise Wide Dynamic Range CMOS Image Sensor With Low-Noise Transistors and 17b Column-Parallel ADCs
9
A low noise wideband mixer for 3MM band
10
A low noise, 1.28µA quiescent regulator with broadband high PSR for micropower sensors
11
A low noise, 2.0 GHz CMOS VCO design
12
A Low Noise, DC-135GHz MOS-HBT Distributed Amplifier for Receiver Applications
13
A low noise, Fast Pixel Readout IC working in single photon counting mode with energy window selection in 90 nm CMOS
14
A low noise, fast set-up low-dropout regulator in 65nm technology
15
A low noise, high efficiency two stage envelope modulator structure for EDGE polar modulation
16
A low noise, high gain Q-band monolithic HEMT receiver
17
A low noise, high gain Q-band monolithic HEMT receiver
18
A low noise, high gain, highly linear mixer for 77 GHz automotive radar applications in SiGe:C bipolar technology
19
A low noise, high power supply rejection low dropout regulator for wireless system-on-chip applications
20
A low noise, high PSR low-dropout regulator for low-cost portable electronics
21
A low noise, high resolution silicon temperature sensor
22
A Low Noise, High Resolution Silicon Temperature Sensor
23
A low noise, low residual offset, chopped amplifier for mixed level applications
24
A low noise, multi-output and multi-resonant flyback power supply for television application
25
A LOW NOISE, MULTI-OUTPUT AND MULTI-RESONANT FLYBACK POWER SUPPLY FOR TELEVISION APPLICATION
26
A low noise, non-contact capacitive cardiac sensor
27
A low noise, phase linear distributed coplanar waveguide amplifier
28
A low noise, radiation tolerant CCD readout processor for the proposed SNAP satellite.
29
A Low Noise, Room Temperature 12 GHz Parametric Amplifier
30
A Low Noise, Wide Dynamic Range Pre-amplifier with Automatic Gain Control for SDH/SONET (STM4/OC12) in 0.6 μm CMOS Process
31
A low noise, wide dynamic range, transimpedance amplifier with automatic gain control for SDH/SONET (STM16/OC48) in a 30GHz fTBiCMOS process
32
A low noise, wide variable range and high linearity VCXO-IC using linearity designable on-chip varactor arrays for fundamental AT-cut crystal resonators
33
A low noise, wideband digital phase-locked loop based on a new time-to-digital converter with subpicosecond resolution
34
A low noise-high counting rate readout system for X-ray imaging applications
35
A low noisy triple channel graphic digitizer for UXGA compatible TFT LCD panels
36
A low offset fast settling rail-to-rail stable operational amplifier in 180 nm technology
37
A Low Offset High Voltage Swing Rail-to-Rail Buffer Amplifier for LCD Driver
38
A Low Offset High Voltage Swing Rail-to-Rail Buffer Amplifier with for LCD Driver
39
A low offset rail-to-rail 12b 2MS/s 0.18μm CMOS cyclic ADC
40
A low ohmic loss radial superlattice conductor at 15 GHz using eddy current canceling effect
41
A low on resistance 700V charge balanced LDMOS with intersected WELL structure
42
A low on-resistance 60 V MOSFET high side switch and a 30 V npn transistor based on 5 V BiCMOS process
43
A Low On-Resistance 700V Charge Balanced LDMOS with Intersected WELL Structure
44
A low on-resistance high voltage soi ligbt with oxide trench in drift region and hole bypass gate configuration
45
A low on-resistance SOI LDMOS using a recessed source and a trench drain
46
A low on-resistance trench lateral power MOSFET in a 0.6/spl mu/m smart power technology for 20-30 V applications
47
A low on-resistance, high-current GaAs power VFET
48
A low open-loop gain, high-PSRR, micropower CMOS amplifier for mixed-signal applications
49
A Low Operating Electric Field Blue-Phase Liquid Crystal Display With Wedge Protrusion
50
A low operating power FinFET transistor module featuring scaled gate stack and strain engineering for 32/28nm SoC technology
51
A low operating voltage IGZO TFT using LaLuO3 gate dielectric
52
A low order adaptive control scheme for hydraulic servo systems
53
A low order computer model for adaptive speed control of diesel driven power-plants
54
A low order controller design method
55
A low order model of sleep stage II EEG based on the gamma kernel
56
A low order nonlinear controller which can match the l1 performance of an LTI controller
57
A low order optimal controller for a turbo-fan jet engine
58
A Low Order Transfer Function Model for MIMO ALSTOM Gasifier
59
A low OSR multi-bit cascaded delta-sigma modulator
60
A low out-of-band noise LTE transmitter with current-mode approach
61
A low output ripple DC to DC converter topology using voltage overlapping technique
62
A Low Overhead and High Coverage BIST Scheme for Dynamic CMOS PLAs
63
A Low Overhead and Reliable Nested Virtualization VMM for Cloud Computing
64
A low overhead built-in delay testing with voltage and frequency adaptation for variation resilience
65
A low overhead checkpointing and rollback recovery scheme for distributed systems
66
A low overhead checkpointing protocol for mobile computing systems
67
A Low Overhead Checkpointing Scheme for Mobile Computing Systems
68
A low overhead design for testability and test generation technique for core-based systems
69
A low overhead design for testability and test generation technique for core-based systems-on-a-chip
70
A Low Overhead DPA Countermeasure Circuit Based on Ring Oscillators
71
A low overhead DPA countermeasure of ECC based on randomized modular multiplication
72
A low overhead dynamic memory management system for constrained memory embedded systems
73
A low overhead error correction system for multi-track recorders
74
A Low Overhead Fault Detection and Recovery Method for the Faults in Clock Generators
75
A Low Overhead Fault Tolerant Coherence Protocol for CMP Architectures
76
A low overhead fault tolerant FPGA with new connection box
77
A low overhead fault tolerant routing scheme for 3D Networks-on-Chip
78
A low overhead hardware technique for software integrity and confidentiality
79
A low overhead high speed histogram based test methodology for analog circuits and IP cores
80
A Low Overhead High Test Compression Technique Using Pattern Clustering With $n$-Detection Test Support
81
A Low Overhead Last-Write-Touch Prediction Scheme
82
A low overhead message routing protocol for underwater acoustic networks
83
A low overhead multi-hop time-sync protocol for wireless sensor networks
84
A low overhead multipath routing based on feedback information for mobile Ad hoc networks
85
A Low Overhead On-Chip Path Delay Measurement Circuit
86
A low overhead pilot-aided parameter estimation scheme for asymmetric PCMA systems
87
A Low Overhead Quasi-Delay-Insensitive (QDI) Asynchronous Data Path Synthesis Based on Microcell-Interleaving Genetic Algorithm (MIGA)
88
A low overhead queue status report scheme for QoS support in WLANs
89
A low overhead routing protocol for ad hoc networks
90
A low overhead routing protocol for ad hoc networks with global connectivity
91
A low overhead stability-aware multipath routing protocol for MANETs
92
A low overhead synchronization mechanism for beamformed systems
93
A low overhead TEK rekeying scheme for IPTV CAS over DOCSIS 3.0
94
A Low Overhead Truthful Energy-Efficient Routing Protocol in Wireless Mobile Ad hoc Networks with Selfish Nodes
95
A low overhead wireless sensor networks MAC protocol
96
A Low Oversampling Ratio 11-bit, 10.6-MHz Switched-Capacitor Delta-Sigma Modulator for Wideband Applications
97
A low oversampling ratio 14-b 500-kHz ΔΣ ADC with a self-calibrated multibit DAC
98
A low over-sampling ratio delta-sigma modulator for standard and wideband applications
99
A Low PAPR Subcarrier Hopping Multiple Access with Coded OFDM for Low Latency Wireless Networks
100
A Low PAPR WLED Communication System Using SC-FDMA Techniques
101
A Low Pass Filter Traffic Shaping Mechanism for the Arrival of Traffic
102
A low phase error X-band eight-channel SiGe PIN diode phased array receiver
103
A Low Phase Noise 1.3 GHz Dielectric Resonator Oscillator
104
A Low Phase Noise 10 GHz To 2 THz Continuously Tunable Optical Microwave Source By Optical Injection
105
A low phase noise 10 GHz VCO in 0. 18 /spl mu/m CMOS process
106
A low phase noise 10 GHz VCO in 0.18μm CMOS process
107
A Low Phase Noise 100MHz Silicon BAW Reference Oscillator
108
A low phase noise 10-G bits/s clock and data recovery circuit with modified D latch for backplane applications using dual loop architecture
109
A Low Phase Noise 10GHz Optoelectronic RF Oscillator Implemented Using CMOS Photonics
110
A low phase noise 10MHz micromechanical lamé-mode bulk oscillator operating in nonlinear region
111
A low phase noise 19 GHz-band VCO using two different frequency resonators
112
A low phase noise 2 GHz VCO using 0.13 μm CMOS process
113
A low phase noise 2.0 V 900 MHz CMOS voltage controlled ring oscillator
114
A low phase noise 20 GHz voltage control oscillator using 0.18-μm CMOS technology
115
A low phase noise 24/77 GHz dual-band sub-sampling PLL for automotive radar applications in 65 nm CMOS technology
116
A low phase noise 26-GHz push-push VCO with a wide tuning range in 0.18-μm CMOS technology
117
A low phase noise 433.92 MHz SAW oscillator for general mobile communication applications
118
A low phase noise 52-GHz push-push VCO in 0.18-μm bulk CMOS technologies
119
A low phase noise all-digital programmable DLL-based clock generator
120
A low phase noise and large tuning range 2.4GHz LC voltage-controlled oscillator
121
A low phase noise and low power CMOS VCO with transformer feedback
122
A Low Phase Noise and Low Power Series Coupled Quadrature VCO for Dual Band Application
123
A low phase noise and low power series coupled quadrature VCO using reconfigurable LC tank
124
A Low Phase Noise and Wide Tuning Range Millimeter-Wave VCO Using Switchable Coupled VCO-Cores
125
A Low Phase Noise and Wide-Bandwidth BiCMOS SiGe:C 0.25μm Digital Frequency Divider For An On-Chip Phase-Noise Measurement Circuit
126
A low phase noise C-band frequency synthesizer using a new fractional-N PLL with programmable fractionality
127
A low phase noise CMOS quadrature VCO for 2.4 GHz bluetooth/WLAN applications
128
A low phase noise CMOS ring VCO for short range device application
129
A low phase noise CMOS voltage-controlled differential ring oscillator
130
A Low Phase Noise CMOS Voltage-Controlled SAW Oscillator for OC-192 Applications
131
A low phase noise Colpitts VCO for Ku-band applications
132
A Low Phase Noise Dll Clock Generator with a Programmable Dynamic Frequency Divider
133
A low phase noise dual loop optoelectronic oscillator as a voltage controlled oscillator with phase locked loop
134
A Low Phase Noise Fully Integrated CMOS LC VCO Using a Large Gate Length pMOS Current Source and Bias Filtering Technique for 5-GHz WLAN
135
A low phase noise InGaP-GaAs HBT transformer power combining VCO
136
A Low Phase Noise Injection-Locked Programmable Reference Clock Multiplier With a Two-Phase PVT-Calibrator for \\Delta \\Sigma PLLs
137
A low phase noise integrated SiGe 18..20 GHz fractional-N synthesizer
138
A low phase noise integrated SiGe 18..20 GHz fractional-N synthesizer
139
A low phase noise Ka-band voltage controlled oscillator using 0.15 µm GaAs pHEMT technology
140
A low phase noise Ku band push-push oscillator using slot ring resonator
141
A low phase noise Ku-band sub-integer frequency synthesizer for E-band transceivers
142
A Low Phase Noise LC VCO in 65 nm CMOS Process Using Rectangular Switching Technique
143
A low phase noise LC-VCO with a high-Q inductor fabricated by wafer level package technology
144
A low phase noise local oscillator module for instrumentation application
145
A low phase noise low dc power quadrature voltage-controlled oscillator using a 0.18-µm CMOS process
146
A low phase noise low power Fractional-N synthesizer architecture
147
A low phase noise microwave oscillator based on a planar microstrip spiral resonator
148
A Low Phase Noise Microwave Oscillator Using Split Ring Resonators
149
A Low Phase Noise Microwave Oscillator with a Miniaturized LTCC Resonator for SIP Design
150
A Low Phase Noise Microwave Sapphire Loop Oscillator
151
A Low Phase Noise MMIC/Hybrid 3.0W Amplifier at X-Band
152
A low phase noise monolithic VCO in SiGe BiCMOS
153
A Low Phase Noise Octa-Phase LC VCO for Multi-band Direct Conversion Receiver
154
A low phase noise optical link for reference oscillator signal distribution
155
A Low Phase Noise Oscillator Principled on Transformer-Coupled Hard Limiting
156
A low phase noise oscillator prototype at 10GHz using Sapphire cavity for the Brazilian gravitational wave detector Mario Schenberg
157
A low phase noise oscillator using spur line resonator for I-band application
158
A Low Phase Noise Quad-Band CMOS VCO with Minimized Gain Variation for GSM/GPRS/EDGE
159
A Low Phase Noise Quadrature Injection Locked Frequency Synthesizer for MM-Wave Applications
160
A low phase noise quadrature LC VCO in CMOS technology
161
A Low Phase Noise Quadrature LC VCO Using Capacitive Common-Source Coupling
162
A low phase noise quadrature ring oscillator using 0.5µm GaN-on-Si HEMT
163
A low phase noise quadrature ring oscillator using 0.5µm GaN-on-Si HEMT
164
A Low Phase Noise Quadrature VCO Using Symmetrical Tail Current-Shaping Technique
165
A low phase noise signal generation system for Ka-Band P2P applications based on an injection-locked frequency tripler
166
A low phase noise silicon 18-GHz push-push VCO
167
A low phase noise silicon 9 GHz VCO and an 18 GHz push-push oscillator
168
A low phase noise VCO for 5-GHz WiMAX/WLAN frequency synthesizer
169
A low phase noise VCO for multi band wireless transceivers
170
A low phase noise VCO in eWLB package
171
A low phase noise VCO using enhanced LC resonator in an single-chip ASK receiver
172
A low phase noise voltage controlled SAW oscillator with surface transverse wave resonator for SONET application
173
A low phase noise W-band InP-HBT monolithic push-push VCO
174
A low phase noise wide tuning range CMOS quadrature VCO using cascade topology
175
A low phase noise wideband VCO in 65nm RF CMOS for low power applications
176
A low phase noise X-band MMIC GaAs MESFET VCO
177
A low phase noise X-Band push-push VCO with microstrip resonator
178
A low phase noise, wide range QVCO for MICS, and ISM applications
179
A Low Phase Noise, Wideband and Compact CMOS PLL for Use in a Heterodyne 802.15.3c Transceiver
180
A low phase noise, wideband and compact CMOS PLL for use in a heterodyne 802.15.3c TRX
181
A low phase shift attenuator
182
A Low Phase Shift Step Attenuator Using p-i-n Diodes Switches
183
A low phase-error 44-GHz HEMT attenuator
184
A Low Phase-Noise X -Band MMIC VCO Using High-Linearity and Low-Noise Composite-Channel {\\hbox {Al}}_{0.3}{\\hbox {Ga}}_{0.7}{\\h</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>185</div><a href='49/1701491.htm'>A low phase-noise 18-GHz HBT oscillator utilizing a (λ/4±δ) open stubs resonator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>186</div><a href='49/191314.htm'>A low phase-noise 24GHz CMOS quadrature-VCO using PMOS-source-follower coupling technique</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>187</div><a href='49/2308676.htm'>A low phase-noise 38-GHz HBT MMIC oscillator utilizing a novel transmission line resonator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>188</div><a href='49/2968690.htm'>A Low Phase-Noise 9-GHz CMOS Quadrature-VCO using Novel Source-Follower Coupling Technique</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>189</div><a href='49/3317238.htm'>A low phase-noise class-C VCO using novel 8-shaped transformer</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>190</div><a href='49/1014984.htm'>A low phase-noise CMOS VCO with harmonic tuned LC tank</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>191</div><a href='49/260990.htm'>A low phase-noise CMOS voltage-controlled oscillator with a series LC resonator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>192</div><a href='49/640816.htm'>A low phase-noise GaAs FET/BJT voltage-controlled oscillator for microwave applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>193</div><a href='49/545732.htm'>A low phase-noise Ka-band frequency synthesizer</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>194</div><a href='49/1607150.htm'>A low phase-noise microwave oscillator using a substrate integrated waveguide resonator based on complementary split ring resonator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>195</div><a href='49/1333076.htm'>A Low Phase-Noise Multi-Phase LO Generator for Wideband Demodulators Based on Reconfigurable Sub-Harmonic Mixers</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>196</div><a href='49/2578605.htm'>A low phase-noise oscillator design for high stability OCXOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>197</div><a href='49/2275070.htm'>A low phase-noise oscillator with a planar capacitively loaded microstrip resonator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>198</div><a href='49/3454655.htm'>A low phase-noise Pierce oscillator using a piezoelectric-on-silica micromechanical resonator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>199</div><a href='49/910001.htm'>A Low Phase-Noise QVCO With Integrated Back-Gate Coupling and Source Resistive Degeneration Technique</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>200</div><a href='49/531742.htm'>A low phase-noise SiGe Colpitts VCO with wide tuning range for UWB applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>201</div><a href='49/531244.htm'>A low phase-noise SiGe Colpitts VCO with wide tuning range for UWB applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>202</div><a href='49/530971.htm'>A low phase-noise SiGe Colpitts VCO with wide tuning range for UWB applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>203</div><a href='49/3498216.htm'>A low phase-noise VCO for multi-band transceiver using fully packaged MEMS electrostatic varactors</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>204</div><a href='49/1356802.htm'>A Low Phase-Noise VCO Using an Electronically Tunable Substrate Integrated Waveguide Resonator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>205</div><a href='49/962937.htm'>A Low Phase-Noise Voltage-Controlled SAW Oscillator With Surface Transverse Wave Resonator for SONET Application</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>206</div><a href='49/1764959.htm'>A Low Phase-Noise Wide Tuning-Range Quadrature Oscillator Using a Transformer-Based Dual-Resonance LC Ring</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>207</div><a href='49/439691.htm'>A low phasenoise, differentially tuned, 1.8GHz power VCO with an ESD-compatible 14dBm output stage in standard digital CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>208</div><a href='49/2948695.htm'>A low phase-shift temperature compensation attenuator with variable-Q FET resonators</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>209</div><a href='49/825803.htm'>A Low Polarization Sensitivity All-Fiber Wavelength Measurement System</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>210</div><a href='49/1014303.htm'>A low potential collector employing an asymmetrical electrode in an axially-symmetric magnetic field</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>211</div><a href='49/2484490.htm'>A Low Power - Remotely Controlled Satellite Frequency Standard</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>212</div><a href='49/2538439.htm'>A low power (45mW/latch) static 150GHz CML divider</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>213</div><a href='49/3517978.htm'>A low power /spl Sigma//spl Delta/ analog-to-digital modulator with 50 MHz sampling rate in a 0.25 /spl mu/m SOI CMOS technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>214</div><a href='49/3435895.htm'>A low power 0.13µm ADC for drift chambers</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>215</div><a href='49/2759255.htm'>A low power 0.18μm CMOS even-harmonic mixer</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>216</div><a href='49/114855.htm'>A low power 0.18μm CMOS technology integrating dual-slope analog-to digital converter</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>217</div><a href='49/1951262.htm'>A low power 0.25 mu m CMOS technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>218</div><a href='49/1933513.htm'>A low power 0.3–3.8 GHz low-noise mixer with noise cancellation</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>219</div><a href='49/1902707.htm'>A low power 1 Mbit MRAM based on 1T1MTJ bit cell integrated with copper interconnects</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>220</div><a href='49/3384657.htm'>A low power 1.0 GHz VCO in 65nm-CMOS LP-process</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>221</div><a href='49/2550857.htm'>A low power 1.1 MHz CMOS continuous-time delta-sigma modulator with active-passive loop filters</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>222</div><a href='49/648619.htm'>A low power 1.2 GS/s 4-bit flash ADC in 0.18 µm CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>223</div><a href='49/658915.htm'>A low power 1.2Gbps sync-less integrating PWM receiver</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>224</div><a href='49/3361996.htm'>A low power 1.3GHz dual-path current mode Gm-C filter</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>225</div><a href='49/3781276.htm'>A low power 1.5GHz Gm-C filter with 0–40dB variable gain in 65-nm CMOS technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>226</div><a href='49/3093765.htm'>A low power 1.8 V 4-bit 400-MHz flash ADC in 0.18μ digital CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>227</div><a href='49/2265453.htm'>A low power 1.8–2.6 dB noise figure, SiGe HBT wideband LNA for multiband wireless applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>228</div><a href='49/391923.htm'>A low power 10 bit 80 MSPS pipelined ADC in digital CMOS process</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>229</div><a href='49/3084693.htm'>A low power 10 bit, 80 MS/s CMOS pipelined ADC at 1.8 V power supply</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>230</div><a href='49/2406241.htm'>A low power 10 Gb/s serial link transmitter in 90-nm CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>231</div><a href='49/3218489.htm'>A low power 10 Gbps voltage mode output driver with good return loss performance</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>232</div><a href='49/3187698.htm'>A low power 10 GHz current reused VCO using negative resistance enhancement technique</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>233</div><a href='49/540476.htm'>A low power 10 GHz voltage-controlled oscillator with modified current-reused configuration</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>234</div><a href='49/1992642.htm'>A low power 100 Gbps DP-QPSK receiver using analog domain signal processing</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>235</div><a href='49/2297833.htm'>A low power 100 MHz – 2.5 GHz digital-to-time conversion based transmitter for constant-envelope direct digital modulation</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>236</div><a href='49/315681.htm'>A low power 100 MHz all digital delay-locked loop</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>237</div><a href='49/1576066.htm'>A low power 100MΩ CMOS front-end transimpedance amplifier for biosensing applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>238</div><a href='49/3381841.htm'>A low power 10-bit 100-MS/s SAR ADC in 65nm CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>239</div><a href='49/2205653.htm'>A low power 10-bit 300 kS/s RSD coded pipeline A/D-converter</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>240</div><a href='49/626949.htm'>A Low power 10bit 500kS/s delta-modulated SAR ADC (DMSAR ADC) for implantable medical devices</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>241</div><a href='49/605290.htm'>A Low Power 10-Bit Time-to-Digital Converter Utilizing Vernier Delay Lines</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>242</div><a href='49/3089869.htm'>A low power 10-transistor full adder cell for embedded architectures</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>243</div><a href='49/3267681.htm'>A low power 12 b analog-to-digital converter with on-chip precision trimming</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>244</div><a href='49/1542724.htm'>A low power 12 bit flux shuttle shift register with Nb technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>245</div><a href='49/3314855.htm'>A low power 120-to-520Mb/s clock and data recovery circuit for PWM signaling scheme</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>246</div><a href='49/2720458.htm'>A low power 128×1-bit GaAs FIFO for ATM packet switcher</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>247</div><a href='49/2736325.htm'>A Low Power 128Mb Pseudo SRAM Using Hyper Destructive Read Architecture</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>248</div><a href='49/2493012.htm'>A Low Power 128-pt Implementation of FFT/IFFT for High Performance Wireless Personal Area Networks</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>249</div><a href='49/3470169.htm'>A low power 128-tap digital adaptive equalizer for broadband modems</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>250</div><a href='49/2129333.htm'>A low power 12-bit 10MS/s algorithmic ADC</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>251</div><a href='49/2566677.htm'>A Low Power 12-Bit 20Msamples/s Pipelined ADC</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>252</div><a href='49/2323075.htm'>A low power 12-bit 40MS/s pipelined ADC with digital calibration</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>253</div><a href='49/1928136.htm'>A low power 12-bit ADC for nuclear instrumentation</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>254</div><a href='49/2542036.htm'>A low power 12-bit ADC for systems applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>255</div><a href='49/2621697.htm'>A low power 12-bit and 25-MS/s pipelined ADC for the ILC / ECAL integrated readout</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>256</div><a href='49/2169293.htm'>A low power 12-bit and 30-MS/s pipeline analog to digital converter in 0.35μm CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>257</div><a href='49/3314640.htm'>A low power 12-Bit ENOB SAR ADC for silicon drift X and gamma ray detector read-out</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>258</div><a href='49/2278067.htm'>A low power 12Gb/s 1:4 demultiplexer in 0.18μm CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>259</div><a href='49/300392.htm'>A low power 13-bit linear feature codec with programmable frontend</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>260</div><a href='49/421400.htm'>A low power 13-Gb/s 2<sup>7</sup>-1 pseudo random bit sequence generator IC in 120 nm bulk CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>261</div><a href='49/1641125.htm'>A low power 14-bit 1 MS/s differential SAR ADC with on chip multi-segment bandgap reference</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>262</div><a href='49/3323351.htm'>A low power 15 GHz frequency divider in a 0.8 μm silicon bipolar production technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>263</div><a href='49/3481023.htm'>A Low Power 15-Bit Decimator in 0.18um CMOS for Biomedical Applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>264</div><a href='49/3725684.htm'>A low power 16 bit BCD adder using different power reduction techniqes</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>265</div><a href='49/2816201.htm'>A low power 16 K GaAs HMESFET static RAM with built-in redundancy</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>266</div><a href='49/3368986.htm'>A low power 16-bit Booth Leapfrog array multiplier using Dynamic Adders</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>267</div><a href='49/2560463.htm'>A Low Power 16-bit RISC with Lossless Compression Accelerator for Body Sensor Network System</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>268</div><a href='49/1979385.htm'>A low power 16-channel fully integrated GMR-based current sensor</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>269</div><a href='49/2850042.htm'>A low power 17% tuning range low phase noise VCOs using coupled LC tanks</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>270</div><a href='49/2153662.htm'>A low power 170 MHz discrete-time analog FIR filter</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>271</div><a href='49/2895446.htm'>A low power 1D-DCT processor for MPEG-targeted real-time applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>272</div><a href='49/626587.htm'>A low power 1-MHz continuous-time ΣΔM Using a passive loop filter designed with a genetic algorithm tool</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>273</div><a href='49/2920188.htm'>A low power 1-V 10-bit 40-MS/s pipeline ADC</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>274</div><a href='49/396389.htm'>A low power 2.2-2.6GHz CMOS VCO with a symmetrical spiral inductor</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>275</div><a href='49/3012825.htm'>A low power 2.4 GHz front end with MEMS lattice based channel filtering at RF</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>276</div><a href='49/1766759.htm'>A low power 2.4-GHz CMOS direct-conversion transmitter for IEEE 802.15.4</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>277</div><a href='49/2040945.htm'>A low power 2.4-GHz current reuse VCO for low power miniaturized transceiver system</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>278</div><a href='49/3516480.htm'>A Low Power 2.4GHz Double-Balanced CMOS Sub-harmonic Mixer</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>279</div><a href='49/2186215.htm'>A Low Power 2.5 Gbps 1:32 Deserializer in SiGe BiCMOS Technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>280</div><a href='49/2488936.htm'>A low power 20 bit instrumentation delta-sigma ADC</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>281</div><a href='49/3360905.htm'>A low power 20 GHz 1.5 Gb/s CMOS injection-pulling FSK modulator and frequency discriminator for 60GHz links</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>282</div><a href='49/354137.htm'>A low power 20 GHz SiGe dual-modulus prescaler</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>283</div><a href='49/1902834.htm'>A low power 200 MHz receiver for wireless hearing aid devices</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>284</div><a href='49/3054736.htm'>A low power 20GHz RF CMOS based phase-locked loop</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>285</div><a href='49/2112022.htm'>A low power 24 GHz LNA in 0.13 μm CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>286</div><a href='49/714281.htm'>A low power 24 GHz radar system for occupancy monitoring</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>287</div><a href='49/3339484.htm'>A low power 25 MS/S 12-bit pipelined analog to digital converter for wireless applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>288</div><a href='49/2551760.htm'>A low power 256 KB SRAM design</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>289</div><a href='49/2639033.htm'>A low power 2D DCT chip design using direct 2D algorithm</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>290</div><a href='49/3534940.htm'>A low power 2-D raster scanning MEMS mirror driven by hybrid electrothermal and electromagnetic actuation mechanisms</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>291</div><a href='49/1954111.htm'>A low power 3.1–7.5 GHz tunable pulse generator for impulse radio UWB</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>292</div><a href='49/690528.htm'>A Low Power 3.1-10.6 GHz Ultra-wideband CMOS Power Amplifier with Resistive Shunt Feedback Technique</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>293</div><a href='49/2128335.htm'>A Low Power 3.12Gbit/s/Channel Parallel Optical Receiver for Very Short Reach (VSR) Applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>294</div><a href='49/3043892.htm'>A low power 32 nanometer CMOS digitally controlled oscillator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>295</div><a href='49/587895.htm'>A low power 32-bit quaternary-tree adder</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>296</div><a href='49/1605531.htm'>A low power 3-D discrete wavelet transform processor for medical applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>297</div><a href='49/2445926.htm'>A low power 3D rendering engine with two texture units and 29Mb embedded DRAM for 3G multimedia terminals</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>298</div><a href='49/2627436.htm'>A Low Power 4.2Gb/s/pin Parallel Link Using Three-Level Differential Encoding</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>299</div><a href='49/396604.htm'>A low power 4.3 GHz phase-locked loop with advanced dual-mode tuning technique including I/Q-signal generation in 0.12 μm standard CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>300</div><a href='49/1768839.htm'>A low power 4<sup>th</sup> order MASH switched-capacitor ΣΔ modulator using ultra incomplete settling</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>301</div><a href='49/2931235.htm'>A low power 40nm CMOS technology featuring extremely high density of logic (2100kGate/mm<sup>2</sup>) and SRAM (0.195μm<sup>2</sup>) for wide range of mobile applications with wireless system</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>302</div><a href='49/3000910.htm'>A Low Power 440-MHz Pulse-Swallow-Divider Combination Synchronization-Asynchronism-Hybrid Frequency Divider</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>303</div><a href='49/2415990.htm'>A low power 44-300 MHz programmable active-RC filter in 0.18 μm CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>304</div><a href='49/598358.htm'>A low power 45-dB DR all-digital assisted AGC loop for a GNSS receiver in 0.18 um CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>305</div><a href='49/2552425.htm'>A low power 45dB dynamic-range variable gain mixer in 0.18µm CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>306</div><a href='49/896740.htm'>A low power 46 ns 256 kbit CMOS static RAM with dynamic double word line</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>307</div><a href='49/3594806.htm'>A Low Power 4-bit Interleaved Burst Sampling ADC for Sub-GHz Impulse UWB Radio</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>308</div><a href='49/2622631.htm'>A low power 5 GHz direct digital synthesizer designed in SiGe technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>309</div><a href='49/3030275.htm'>A low power 5 MS/s 14 bit switched capacitors digital to analog converter</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>310</div><a href='49/2649802.htm'>A low power 50 MHz FFT processor with cyclic extension and shaping filter</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>311</div><a href='49/1908589.htm'>A low power 52.9 GHz static divider implemented in a manufacturable 180 GHz AlInAs/InGaAs HBT IC technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>312</div><a href='49/1701722.htm'>A low power 5Gb/s transimpedance amplifier with dual feedback technique</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>313</div><a href='49/579641.htm'>A low power 6.2–8.3 GHz frequency synthesizer in SiGe BiCMOS for IEEE802.15.4a standard</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>314</div><a href='49/625448.htm'>A low power 60-GHz 2.2-Gbps UWB transceiver with integrated antennas for short range communications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>315</div><a href='49/2723786.htm'>A low power 60GHz OOK transceiver system in 90nm CMOS with innovative on-chip AMC antenna</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>316</div><a href='49/242337.htm'>A low power 64K-bit eeprom for battery-less TPMS SoC</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>317</div><a href='49/3674752.htm'>A Low Power 64-point Bit-Serial FFT Engine for Implantable Biomedical Applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>318</div><a href='49/3405418.htm'>A low power 65nm CMOS electronic neuron and synapse design for a biomimetic micro-robot</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>319</div><a href='49/3093784.htm'>A low power 6-bit A/D converter achieving 10-bit resolution for MEMS sensor interface using time-interleaved delta modulation</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>320</div><a href='49/2670122.htm'>A low power 6-bit current-steering DAC in 0.18-μm CMOS process</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>321</div><a href='49/2374688.htm'>A low power 6-bit flash ADC with reference voltage and common-mode calibration</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>322</div><a href='49/1204728.htm'>A Low Power 6-bit Flash ADC With Reference Voltage and Common-Mode Calibration</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>323</div><a href='49/727351.htm'>A low power 6T-4C non-volatile memory using charge sharing and non-precharge techniques</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>324</div><a href='49/3251254.htm'>A low power 700MSPS 4bit time interleaved SAR ADC in 0.18um CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>325</div><a href='49/2911739.htm'>A low power 72.8 GHz static frequency divider implemented in AlInAs/InGaAs HBT IC technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>326</div><a href='49/1791490.htm'>A low power 720p motion estimation processor with 3D stacked memory</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>327</div><a href='49/1365596.htm'>A Low Power 77 GHz Low Noise Amplifier With an Area Efficient RF-ESD Protection in 65 nm CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>328</div><a href='49/3535111.htm'>A low power 77 K nano-memory with single electron nano-crystal storage</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>329</div><a href='49/175274.htm'>A low power 8<sup>th</sup> sub-harmonic injection locked receiver for mm-Wave beamforming applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>330</div><a href='49/3599641.htm'>A Low Power 8-Bit Asynchronous SAR ADC Design Using Charge Scaling DAC</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>331</div><a href='49/1644935.htm'>A low power 8-bit digitally controlled CMOS ring oscillator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>332</div><a href='49/3157376.htm'>A low power 8T SRAM cell design technique for CNFET</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>333</div><a href='49/3457914.htm'>A low power 9.5 ENOB 100MS/s pipeline ADC using correlated level shifting</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>334</div><a href='49/2365057.htm'>A low power 9.75/10.6GHz down-converter IC in SiGe:C BiCMOS for Ku-band satellite LNBs</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>335</div><a href='49/558494.htm'>A low power 9.75/10.6GHz PLL in SiGe:C BiCMOS for Ku-band satellite LNBs</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>336</div><a href='49/558134.htm'>A low power 9.75/10.6GHz PLL in SiGe:C BiCMOS for Ku-band satellite LNBs</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>337</div><a href='49/2995385.htm'>A Low Power 90 nm LNA with an Optimized Spiral Inductor Model for WiMax Front End</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>338</div><a href='49/2429370.htm'>A low power 900 MHz PLL frequency synthesizer for ISM applications using 0.25 μm BiCMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>339</div><a href='49/3417087.htm'>A low power 900 MHz register file (8 ports, 32 words×64 bits) in 1.8 V, 0.25 μm SOI technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>340</div><a href='49/2195668.htm'>A low power 900 MHz transmitter IC with audio baseband for ISM applications using 0.25 /spl mu/m BiCMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>341</div><a href='49/1837787.htm'>A low power 90-nm CMOS motion estimation processor implementing dynamic voltage and frequency scaling (DVFS) and fast motion estimation algorithm</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>342</div><a href='49/2921950.htm'>A low power 9GHz divide-by-3 injection locked frequency divider in 0.18μm CMOS with 15% locking range</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>343</div><a href='49/3239295.htm'>A low power accelerometer used to improve posture</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>344</div><a href='49/238350.htm'>A low power adaptive bias fully differential operational amplifier</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>345</div><a href='49/1854024.htm'>A low power adaptive filter using dynamic reduced 2´s-complement representation</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>346</div><a href='49/261451.htm'>A low power adaptive H.264 video encoder hardware</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>347</div><a href='49/2862158.htm'>A Low Power Adaptive Mac Protocol for Infrastructure Wireless LANS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>348</div><a href='49/2552405.htm'>A low power adaptive transmitter architecture for low band UWB applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>349</div><a href='49/2268774.htm'>A low power algorithm for division in residue number system (RNS)</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>350</div><a href='49/2126568.htm'>A low power algorithm for sparse system identification using cross correlation</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>351</div><a href='49/2521265.htm'>A low power all-digital PLL with power optimized digitally controlled oscillator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>352</div><a href='49/627067.htm'>A low power all-digital self-calibrated temperature sensor using 65nm FPGAs</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>353</div><a href='49/1810516.htm'>A low power all-digital signal component separator for uneven multi-level LINC systems</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>354</div><a href='49/2175901.htm'>A low power AlSb/InAs HEMT X-band low noise amplifier</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>355</div><a href='49/3249507.htm'>A low power ALU cluster design for media streaming architecture</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>356</div><a href='49/1665034.htm'>A low power analog channel decoder for Ultra Portable Devices in 65 nm technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>357</div><a href='49/3255380.htm'>A low power analog feed forward equalizer for gigabit Ethernet</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>358</div><a href='49/3044768.htm'>A low power analog front-end (AFE) circuit dedicated for driving bio-electrochemical sensors and peripheral devices</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>359</div><a href='49/241971.htm'>A low power analog front-end for portable biopotential acquisition systems</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>360</div><a href='49/642745.htm'>A low power analog RAM implementation for in-probe beamforming in ultrasound imaging</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>361</div><a href='49/1745305.htm'>A low power and area efficient FIR filter chip for PRML read channels</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>362</div><a href='49/2171232.htm'>A low power and compact desktop ATM PMD</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>363</div><a href='49/1929011.htm'>A low power and complexity watermarking algorithm in DS-CDMA communication</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>364</div><a href='49/3085350.htm'>A low power and delay multi-protocol switch with IO and network virtualization</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>365</div><a href='49/418463.htm'>A low power and fast wake up circuit</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>366</div><a href='49/1784968.htm'>A low power and high accuracy MEMS sensor based activity recognition algorithm</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>367</div><a href='49/646670.htm'>A low power and high conversion gain 60-GHz CMOS up-conversion mixer using current injection and dual negative resistance compensation techniques</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>368</div><a href='49/2864932.htm'>A low power and high conversion gain 77∼81 GHz double-balanced up-conversion mixer with excellent LO-RF isolation in 90 nm CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>369</div><a href='49/2598000.htm'>A low power and high gain double-balanced active mixer with integrated transformer-based Baluns dedicated to 77 GHz automotive radar applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>370</div><a href='49/175265.htm'>A low power and high linearity dual path up-down converter for wireless telecommunication repeater system</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>371</div><a href='49/2582288.htm'>A low power and high performance analog front end for passive RFID transponder</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>372</div><a href='49/349056.htm'>A low power and high performance core for planar object overlaying</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>373</div><a href='49/3708548.htm'>A low power and high performance face detection on mobile GPU</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>374</div><a href='49/2049797.htm'>A low power and high performance on-chip interconnect using current mode signalling scheme</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>375</div><a href='49/1833208.htm'>A low power and high performance robust digital delay locked loop against noisy environments</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>376</div><a href='49/3251955.htm'>A Low Power and High Performance SOI SRAM Circuit Design with Improved Cell Stability</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>377</div><a href='49/2708264.htm'>A low power and high picture quality H.264/MPEG-4 video codec IP for HD mobile applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>378</div><a href='49/2268464.htm'>A low power and high precision DAC in 0.13µm CMOS for DVS system</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>379</div><a href='49/69156.htm'>A Low Power and High Sensing Margin Non-Volatile Full Adder Using Racetrack Memory</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>380</div><a href='49/2200187.htm'>A low power and high speed Carbon Nanotube 5-to-3 compressor</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>381</div><a href='49/3365467.htm'>A low power and high speed CMOS Voltage-Controlled Ring Oscillator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>382</div><a href='49/920896.htm'>A low power and high speed data transfer scheme with asynchronous compressed pulse width modulation for AS-Memory</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>383</div><a href='49/3005683.htm'>A low power and high speed data transfer scheme with asynchronous compressed pulse width modulation for AS-memory</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>384</div><a href='49/3546883.htm'>A low power and high speed level shifter with delay circuits</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>385</div><a href='49/2133437.htm'>A low power and high speed PPM design for ultra wideband communications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>386</div><a href='49/2449494.htm'>A low power and high speed Viterbi decoder chip for WLAN applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>387</div><a href='49/2492439.htm'>A Low power and highly parallel implementation of the H.264 8 × 8 transform and quantization</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>388</div><a href='49/2708740.htm'>A low power and highly reliable 400Mbps mobile DDR SDRAM with on-chip distributed ECC</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>389</div><a href='49/2886552.htm'>A low power and high-speed current latched comparator for weak current operations</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>390</div><a href='49/3578053.htm'>A low power and low 1/f noise chopper-stabilized amplifier with current recycling technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>391</div><a href='49/2568052.htm'>A low power and low 1/f-noise transconductance for the input stage of a current sense A/D converter</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>392</div><a href='49/3043883.htm'>A low power and low area active clock deskewing technique for sub-90nm technologies</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>393</div><a href='49/85520.htm'>A Low Power and Low Complexity Automatic White Balance Algorithm for AMOLED Driving Using Histogram Matching</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>394</div><a href='49/2378839.htm'>A low power and low cost driving circuit for piezoelectrically actuated pump</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>395</div><a href='49/3131512.htm'>A low power and low cost scan test architecture for multi-clock domain SoCs using virtual divide and conquer</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>396</div><a href='49/252176.htm'>A low power and low distortion VCO based ADC using a pulse frequency modulator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>397</div><a href='49/1674149.htm'>A low power and low noise frequency synthesizer with a integrated quadrature VCO</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>398</div><a href='49/856981.htm'>A low power and low noise p-HEMT ku band VCO</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>399</div><a href='49/465107.htm'>A Low Power and Low Quantization Noise Digital Sigma-Delta Modulator for Wireless Transmitters</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>400</div><a href='49/1098153.htm'>A Low Power and Low Signal 5-bit 25 MS/s Pipelined ADC for Monolithic Active Pixel Sensors</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>401</div><a href='49/3547063.htm'>A low power and low voltage continuous time ΣΔ modulator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>402</div><a href='49/2892389.htm'>A low power and memory efficient distributed arithmetic design and its DCT application</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>403</div><a href='49/2952426.htm'>A low power and radiation-tolerant FPGA implemented in FD SOI process</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>404</div><a href='49/3299885.htm'>A low power and reduced area carry select adder</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>405</div><a href='49/177273.htm'>A low power and reliable charge pump design for Phase Change Memories</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>406</div><a href='49/129056.htm'>A low power and robust carbon nanotube 6T SRAM design with metallic tolerance</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>407</div><a href='49/1045588.htm'>A Low Power and Small Area FFT Processor for OFDM Demodulator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>408</div><a href='49/3456803.htm'>A low power and standard-compliant RDO motion estimation hardware architecture for VBSME</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>409</div><a href='49/183134.htm'>A low power and ultra high reliability LDPC error correction engine with Digital Signal Processing for embedded NAND Flash Controller in 40nm COMS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>410</div><a href='49/2253449.htm'>A low power and variable-length FFT processor design for flexible MIMO OFDM systems</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>411</div><a href='49/597829.htm'>A low power and wide frequency range CMOS signal detector for high speed data links</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>412</div><a href='49/2135636.htm'>A low power and wide frequency range voltage controlled oscillator with quadrature outputs</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>413</div><a href='49/1360541.htm'>A Low Power and Wide Range Programmable Clock Generator With a High Multiplication Factor</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>414</div><a href='49/3158995.htm'>A low power approach to floating point adder design</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>415</div><a href='49/3095940.htm'>A low power architecture design method based on DFG model</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>416</div><a href='49/375086.htm'>A low power architecture for a new efficient block-matching motion estimation algorithm</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>417</div><a href='49/2718834.htm'>A low power architecture for a new efficient block-matching motion estimation algorithm</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>418</div><a href='49/2008747.htm'>A low power architecture for digital sequence generation</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>419</div><a href='49/147201.htm'>A low power architecture for H.264 encoder in Intra Prediction Mode</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>420</div><a href='49/2051991.htm'>A low power architecture for online detection of execution errors in SMT processors</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>421</div><a href='49/1712754.htm'>A low power architecture for wireless multimedia systems: lessons learned from building a power hog</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>422</div><a href='49/2366137.htm'>A Low Power Architecture to Extend the Tuning Range of a Quadrature Clock</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>423</div><a href='49/690446.htm'>A Low Power Area Efficient Full Custom 3-Read 3-Write General Purpose Register in 65nm Technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>424</div><a href='49/439367.htm'>A low power ASIC for the control of a mobile micro-actuator array</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>425</div><a href='49/590694.htm'>A low power ASIP for precision configurable FFT processing</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>426</div><a href='49/1678074.htm'>A Low Power ASK Clock and Data Recovery Circuit for Wireless Implantable Electronics</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>427</div><a href='49/1745293.htm'>A low power asynchronous DES</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>428</div><a href='49/1562125.htm'>A low power asynchronous GF(2<sup>173</sup>) ALU for elliptic curve crypto-processor</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>429</div><a href='49/2662738.htm'>A Low Power Asynchronous GPS Baseband Processor</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>430</div><a href='49/3474942.htm'>A low power asynchronous java processor for contactless smart card</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>431</div><a href='49/657169.htm'>A low power auto-reconfigurable pipelined ADC for implantable biomedical applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>432</div><a href='49/3710630.htm'>A low power bandgap voltage reference for Low-Dropout Regulator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>433</div><a href='49/2209811.htm'>A low power bandpass /spl Sigma/ /spl Delta/ modulator injection locked synthesizer</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>434</div><a href='49/2211510.htm'>A low power baseband chain for CMMB application</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>435</div><a href='49/2872591.htm'>A Low Power Base-Band Circuit for Low-IF Wireless PAN Receivers</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>436</div><a href='49/2765551.htm'>A low power baseband filter for DRM receivers</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>437</div><a href='49/2707086.htm'>A low power baseband OFDM receiver IC for fixed WiMAX communication</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>438</div><a href='49/1800496.htm'>A low power baseband processor for a dual mode UHF EPC Gen 2 RFID tag</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>439</div><a href='49/3547010.htm'>A low power baseband processor with clock variance-tolerant for UHF RFID transponder</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>440</div><a href='49/3333682.htm'>A low power based partitioning and binding technique for single chip application specific DSP architectures</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>441</div><a href='49/3410399.htm'>A low power based system partitioning and binding technique for multi-chip module architectures</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>442</div><a href='49/2534062.htm'>A low power battery management system for rechargeable wireless implantable electronics</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>443</div><a href='49/738235.htm'>A Low Power BAW Resonator Based 2.4-GHz Receiver With Bandwidth Tunable Channel Selection Filter at RF</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>444</div><a href='49/465076.htm'>A Low Power BFSK Super-Regenerative Transceiver</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>445</div><a href='49/3456790.htm'>A low power biomedical signal processing system-on-chip design for portable brain-heart monitoring systems</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>446</div><a href='49/2428089.htm'>A low power biomedical signal processor ASIC based on hardware software codesign</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>447</div><a href='49/2132443.htm'>A low power bipolar ECL standard cell library utilizing a novel design methodology and complementary bipolar driver</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>448</div><a href='49/160319.htm'>A low power BIST scheme based on block encoding</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>449</div><a href='49/405730.htm'>A low power BIST TPG design</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>450</div><a href='49/3547506.htm'>A low power block-matching analog motion estimation processor</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>451</div><a href='49/626597.htm'>A low power BPSK demodulator for wireless implantable biomedical devices</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>452</div><a href='49/1343311.htm'>A Low Power Broadband Differential Low Noise Amplifier Employing Noise and IM3 Distortion Cancellation for Mobile Broadcast Receivers</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>453</div><a href='49/3582040.htm'>A low power broadband K-band low noise amplifier</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>454</div><a href='49/3712369.htm'>A low power buffer-aided vector register file for LTE baseband signal processing</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>455</div><a href='49/118173.htm'>A low power built in repair analyzer for word oriented memories with optimal repair rate</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>456</div><a href='49/228572.htm'>A low power Built In Self Repair technique for word oriented memories</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>457</div><a href='49/1874009.htm'>A low power bulk-driven MDAC synapse</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>458</div><a href='49/228390.htm'>A low power CAM with a parity bit and power gated ML sensing</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>459</div><a href='49/821933.htm'>A Low Power CAMAC Drift Chamber Time Digitizer System</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>460</div><a href='49/1567474.htm'>A low power capacitance to pulse width converter for integrated sensors</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>461</div><a href='49/1947224.htm'>A low power capacitive coupled bus interface based on pulsed signaling</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>462</div><a href='49/2413793.htm'>A Low Power Carbon Nanotube Chemical Sensor System</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>463</div><a href='49/3089837.htm'>A low power carry select adder with reduced area</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>464</div><a href='49/3280304.htm'>A low power catalytic combustion gas sensor based on a suspended membrane microhotplate</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>465</div><a href='49/2862588.htm'>A Low Power Celp Decoder VLSI Architecture With Reduced Memory Requirement For Low Bit Rate Speech Codec</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>466</div><a href='49/797719.htm'>A Low Power Charge Sensitive Amplification and Fast Coincidence System for Space Application</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>467</div><a href='49/1562252.htm'>A low power charge sharing ROM using dummy bit lines</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>468</div><a href='49/2704042.htm'>A low power charge-recycling CMOS clock buffer</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>469</div><a href='49/1745288.htm'>A low power charge-recycling ROM architecture</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>470</div><a href='49/2142728.htm'>A low power charge-redistribution ADC with reduced capacitor array</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>471</div><a href='49/242243.htm'>A low power charge-redistribution SAR ADC with a monotonic switching procedure</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>472</div><a href='49/2285827.htm'>A low power chipset for portable multimedia applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>473</div><a href='49/1946516.htm'>A low power Class D audio amplifier with discrete time loop filter compensation</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>474</div><a href='49/3351260.htm'>A low power clock distribution scheme for complex IC system</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>475</div><a href='49/2144878.htm'>A low power clock network placement framework</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>476</div><a href='49/527941.htm'>A low power CMOS 0.13µm high dynamic range front-end for 100µm × 100µm pixel sensors</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>477</div><a href='49/3319785.htm'>A low power CMOS 2.4-GHz monolithic integer-N synthesizer for wireless sensor</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>478</div><a href='49/2233559.htm'>A low power CMOS adaptive line equalizer for fast Ethernet</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>479</div><a href='49/2652282.htm'>A low power CMOS bandgap voltage reference with enhanced power supply rejection</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>480</div><a href='49/3333926.htm'>A low power CMOS biopotentiostat in a low-voltage 0.13 µm digital technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>481</div><a href='49/2104775.htm'>A low power CMOS Bluetooth transceiver with a digital offset canceling DLL-based GFSK demodulator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>482</div><a href='49/1573803.htm'>A low power CMOS capacitance to pulse duration converter based on a dual clock approach</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>483</div><a href='49/3399529.htm'>A low power CMOS circuit with variable source scheme (VSCMOS)</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>484</div><a href='49/3270309.htm'>A low power CMOS CORDIC processor design for wireless telecommunication</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>485</div><a href='49/3335173.htm'>A low power CMOS correlator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>486</div><a href='49/3295802.htm'>A low power CMOS current mode bandgap reference circuit with low temperature coefficient of output voltage</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>487</div><a href='49/258725.htm'>A low power CMOS current steering multivibrator VFC with full scale input</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>488</div><a href='49/2349756.htm'>A low power CMOS distributed amplifier</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>489</div><a href='49/2349091.htm'>A low power CMOS distributed amplifier</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>490</div><a href='49/3465278.htm'>A low power CMOS front-end for photoplethysmongraphic signal acquisition with robust DC Photocurrent Rejection</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>491</div><a href='49/1987186.htm'>A Low Power CMOS Full-Band UWB Power Amplifier Using Wideband RLC Matching Method</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>492</div><a href='49/1646844.htm'>A low power CMOS image sensor design for wireless endoscopy capsule</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>493</div><a href='49/234027.htm'>A Low Power CMOS Imager Based on Distributed Compressed Sensing</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>494</div><a href='49/3547635.htm'>A low power CMOS imager based on time-to-first-spike encoding and fair AER</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>495</div><a href='49/649145.htm'>A low power CMOS integrated circuit for differential capacitive measurement</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>496</div><a href='49/3254028.htm'>A low power CMOS integrated circuit for implantable sensor</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>497</div><a href='49/2369569.htm'>A low power CMOS interface circuit for three-axis integrated accelerometers</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>498</div><a href='49/3167928.htm'>A low power CMOS LNA for 1–10GHz application</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>499</div><a href='49/541096.htm'>A low power CMOS LNA for 2.45-GHz band IEEE 802.15.4 standard in 0.18-μm technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>500</div><a href='49/465484.htm'>A Low Power CMOS Low Noise Amplifier for 3-10G-Hz Ultra-wideband Wireless Receivers</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>501</div><a href='49/3547569.htm'>A low power CMOS low noise amplifier for ultra-wideband wireless applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>502</div><a href='49/2537285.htm'>A low power CMOS low noise amplifier for wireless communications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>503</div><a href='49/2652481.htm'>A low power CMOS Mixed-Integrator-Based continuous-time filter</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>504</div><a href='49/1861911.htm'>A low power CMOS receiver for a tissue monitoring NMR spectrometer</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>505</div><a href='49/589374.htm'>A low power CMOS receiver front-end for long term evolution systems</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>506</div><a href='49/1568164.htm'>A low power CMOS RF transmitter front-end for 2.4 GHz ZigBee applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>507</div><a href='49/3490794.htm'>A low power CMOS SAW-less quad band WCDMA/HSPA/1X/EGPRS transmitter</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>508</div><a href='49/1840195.htm'>A low power CMOS sigma-delta readout circuit for heterogeneously integrated chemoresistive micro-/nano- sensor arrays</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>509</div><a href='49/3155309.htm'>A low power CMOS single-chip receiver and system-on-package for 60GHz mobile applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>510</div><a href='49/241651.htm'>A low power CMOS technology compatible non-volatile SRAM cell</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>511</div><a href='49/263222.htm'>A low power CMOS temperature-to-frequency converter for RFID applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>512</div><a href='49/3491481.htm'>A Low Power CMOS Time-to-Digital Converter Based on Duty Cycle Controllable Pulse Stretcher</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>513</div><a href='49/2708208.htm'>A low power CMOS transceiver for 915 MHz-band IEEE 802.15.4b standard</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>514</div><a href='49/2072547.htm'>A Low Power CMOS Transmitter Design for IR-UWB Communication Systems</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>515</div><a href='49/3255607.htm'>A low power CMOS UWB pulse generator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>516</div><a href='49/2921804.htm'>A Low Power CMOS VCO for IEEE 802.11a Applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>517</div><a href='49/117041.htm'>A low power CMOS Voltage Controlled Oscillator in 65 nm technology</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>518</div><a href='49/3295426.htm'>A low power CMOS voltage mode SRAM cell for high speed VLSI design</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>519</div><a href='49/2771606.htm'>A low power CMOS voltage reference circuit with subthreshold MOSFETs</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>520</div><a href='49/1377772.htm'>A Low Power CMOS Voltage Regulator for a Wireless Blood Pressure Biosensor</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>521</div><a href='49/2135517.htm'>A Low Power Communication Circuit Design Using Selective Glitch Removal Method</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>522</div><a href='49/2968593.htm'>A low power compact CMOS programmable temperature switch with process compensation</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>523</div><a href='49/1607927.htm'>A low power compact size forward body-biased K-band CMOS low noise amplifier</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>524</div><a href='49/3478970.htm'>A Low Power Complete Charge-Recycling Bus Architecture for Ultra-High Data Rate Ulsi´s</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>525</div><a href='49/548044.htm'>A low power configurable analogue block</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>526</div><a href='49/547718.htm'>A low power configurable analogue block</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>527</div><a href='49/3682348.htm'>A low power configurable bio-impedance spectroscopy (BIS) ASIC with simultaneous ECG and respiration recording functionality</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>528</div><a href='49/3019877.htm'>A low power configurable SoC for simulating delay-based audio effects</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>529</div><a href='49/2848857.htm'>A low power constant-Gm rail-to-rail operational transconductance amplifier by recycling current</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>530</div><a href='49/2467342.htm'>A low power consumption 10-bit rail-to-rail SAR ADC using a C-2C capacitor array</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>531</div><a href='49/2365035.htm'>A low power consumption and compact mixed-signal Gaussian membership function circuit for neural/fuzzy hardware</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>532</div><a href='49/1618962.htm'>A low power consumption BIST testing technology based on heavy input</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>533</div><a href='49/2715272.htm'>A low power consumption CMOS differential-ring VCO for a Wireless Sensor</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>534</div><a href='49/1928430.htm'>A low power consumption driver with low acoustics for piezoelectric synthetic jets</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>535</div><a href='49/2914884.htm'>A low power consumption fluorescent lamp for LCD backlighting</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>536</div><a href='49/2197655.htm'>A low power consumption GaAs MMIC transimpedance amplifier for 2.5 Gb/s optical receivers</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>537</div><a href='49/3403403.htm'>A Low Power Consumption Implementation for WSN Nodes in Lumber Drying Kiln</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>538</div><a href='49/2407324.htm'>A low power consumption receiver at LF using frequency shift keying technique</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>539</div><a href='49/3268363.htm'>A low power consumption thermo-optic variable optical attenuator based on SOI material</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>540</div><a href='49/2326087.htm'>A low power consumption, high speed Op-amp for a 10-bit 100MSPS parallel pipeline ADC</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>541</div><a href='49/3390296.htm'>A low power consumption, low phase noise, and wide tuning range LC VCO with ACC</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>542</div><a href='49/1273228.htm'>A Low Power Content Addressable Memory Using Low Swing Search Lines</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>543</div><a href='49/3176452.htm'>A low power continuous time band pass sigma delta modulator using linearity enhanced OTA</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>544</div><a href='49/674707.htm'>A low power control system optimized for solar thermal power generation</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>545</div><a href='49/2154627.htm'>A low power convolver for channel estimation in Chinese DTMB System</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>546</div><a href='49/3454829.htm'>A low power cross-coupled charge pump with charge recycling scheme</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>547</div><a href='49/3298846.htm'>A low power crosstalk-free bus encoding using genetic algorithm</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>548</div><a href='49/2849999.htm'>A low power cryogenic CMOS ROIC for 512×512 infrared focal plane array</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>549</div><a href='49/2253285.htm'>A low power current reused quadrature VCO for biomedical applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>550</div><a href='49/3035161.htm'>A low power current sensing scheme for cmos sram</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>551</div><a href='49/2252467.htm'>A low power current steering digital to analog converter in 0.18 micron CMOS</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>552</div><a href='49/3633556.htm'>A low power current-mode binary-tree WTA / LTA circuit for Kohonen neural networks</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>553</div><a href='49/418473.htm'>A low power current-mode pixel with on-chip FPN cancellation and digital shutter</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>554</div><a href='49/1734935.htm'>A Low Power Current-reused CMOS RF Front-end with Stacked LNA and Mixer</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>555</div><a href='49/1871351.htm'>A low power D<sup>3</sup>L 16-bit radix- 4 pipelined SRT divider</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>556</div><a href='49/2120643.htm'>A low power data holding circuit with an intermittent power supply scheme for sub-1V MT-CMOS LSIs</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>557</div><a href='49/3170202.htm'>A low power data-adaptive motion estimation algorithm</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>558</div><a href='49/452924.htm'>A Low Power Datalogger based on Compactflash memory for Ocean Bottom Seismometers (OBS)</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>559</div><a href='49/2572752.htm'>A low power datapath for algebraic codebook search targeting a generic GSM system-on-chip platform</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>560</div><a href='49/3456141.htm'>A low power DC-7.8 GHz BiCMOS LNA for UWB and optical communication</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>561</div><a href='49/3383673.htm'>A low power DC-DC converter for scavenged power wireless sensor networks</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>562</div><a href='49/490745.htm'>A Low Power DC-DC Converter using a Switched-Capacitor Transformer</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>563</div><a href='49/2910440.htm'>A Low Power DDFS Design with Error Compensation Using A Nonlinear Digital-to-Analog Converter</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>564</div><a href='49/3543252.htm'>A low power decimation filter architecture for high-speed single-bit sigma-delta modulation</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>565</div><a href='49/3255938.htm'>A low power decomposed hierarchical multiplier architecture embedding multiplexer based full adders</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>566</div><a href='49/1562475.htm'>A low power delayed-clocks generation and distribution system</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>567</div><a href='49/300393.htm'>A low power demodulator LSIC for personal communications: high performance coherent detection demodulator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>568</div><a href='49/1775887.htm'>A low power demodulator using subthreshold design</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>569</div><a href='49/2352975.htm'>A low power design and verification API</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>570</div><a href='49/2230684.htm'>A low power design approach for MOS current mode logic</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>571</div><a href='49/151581.htm'>A low power design for radio frequency energy harvesting applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>572</div><a href='49/2656117.htm'>A low power design on diffusive interconnection large-neighborhood cellular nonlinear network for giga-scale system application</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>573</div><a href='49/602929.htm'>A low power detection routing method for bufferless NoC</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>574</div><a href='49/3090574.htm'>A Low Power Deterministic Test Pattern Generator for BIST Based on Cellular Automata</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>575</div><a href='49/2704454.htm'>A low power differential bus utilizing novel split level bus technique</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>576</div><a href='49/2900081.htm'>A Low Power Digital Baseband for Wireless Endoscope Capsule</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>577</div><a href='49/3417567.htm'>A low power digital baseband transceiver for WBANs</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>578</div><a href='49/439631.htm'>A low power digital beamformer for handheld ultrasound systems</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>579</div><a href='49/2561090.htm'>A Low Power Digital DLL with Wide Locking Range for 3Gbps 512Mb GDDR3 SDRAM</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>580</div><a href='49/2736774.htm'>A Low Power Digital IC Design Inside the Wireless Endoscopy Capsule</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>581</div><a href='49/3157059.htm'>A low power digital pixel sensor with a dynamically biased ADC</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>582</div><a href='49/3265449.htm'>A low power digital servo architecture for optical disc</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>583</div><a href='49/1548452.htm'>A low power digital servo architecture for optical disc</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>584</div><a href='49/465021.htm'>A Low Power Digital Signal Processor with Adaptive Band Activation for Digital Hearing Aid Chip</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>585</div><a href='49/2677357.htm'>A low power digitally-enhanced SASP-based receiver architecture for mobile DVB-S applications in the Ku-band (10.7–12.75 GHz)</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>586</div><a href='49/587854.htm'>A low power discreet time sigma delta modulator in 50nm CMOS digital</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>587</div><a href='49/1809810.htm'>A low power discrete-time receiver for triple-band FM/T-DMB/DAB system-on-chip</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>588</div><a href='49/3034350.htm'>A low power divider for high frequency FMCW based localization systems</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>589</div><a href='49/120627.htm'>A low power DLL based clock multiplier for multistandard wireless smart grid communication</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>590</div><a href='49/2896802.htm'>A Low Power Domino with Differential-Controlled-Keeper</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>591</div><a href='49/117110.htm'>A low power double phase clock multiband flexible divider</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>592</div><a href='49/109708.htm'>A Low Power Double-Sampling Extended Counting ADC With Class-AB OTA for Sensor Arrays</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>593</div><a href='49/164791.htm'>A low power DRAM refresh control scheme for 3D memory cube</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>594</div><a href='49/3416551.htm'>A low power driving method for reducing cross-talk in high resolution TFT-LCDs</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>595</div><a href='49/2082538.htm'>A low power DSP core for an embedded MP3 decoder</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>596</div><a href='49/2298385.htm'>A low power DSP engine for wireless communications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>597</div><a href='49/228539.htm'>A low power dual modulus prescaler for fractional-N PLL synthesizer</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>598</div><a href='49/1663050.htm'>A low power dual-mode pulse triggered flip-flop using pass transistor logic</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>599</div><a href='49/3366104.htm'>A Low Power Dual-Mode Sigma-Delta Modulator for GSM/WCDMA Receivers</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>600</div><a href='49/1191936.htm'>A Low Power DVB-T/H Zero-IF Tuner IC Design in 0.25 μm BiCMOS Technology for Mobile TV Reception</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>601</div><a href='49/2154251.htm'>A low power dynamic pseudo random bit generator for test pattern generation</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>602</div><a href='49/2045337.htm'>A Low Power Dynamic Reconfigurable Processor using Logarithmic Number System for Software Radio Equalizers</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>603</div><a href='49/3498447.htm'>A low power ECG acquisition system implemented with a fully integrated analog front-end</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>604</div><a href='49/1860962.htm'>A low power ECG signal processor for ambulatory arrhythmia monitoring system</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>605</div><a href='49/338570.htm'>A low power electroabsorption modulator driver IC for 10 Gbps optical transmitter</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>606</div><a href='49/1894037.htm'>A low power electronic sticker for vehicle identification system using proprietary active RFID wireless protocol</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>607</div><a href='49/1784241.htm'>A low power electronics converter with input resistance control for piezoelectric energy harvesting</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>608</div><a href='49/485621.htm'>A Low Power Electro-Pneumatic Servovalve Design</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>609</div><a href='49/3191604.htm'>A low power embedded dataflow coprocessor</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>610</div><a href='49/3491373.htm'>A Low Power Embedded SRAM for Wireless Applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>611</div><a href='49/3574468.htm'>A low power encoder for a 5-GS/s 5-bit flash ADC</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>612</div><a href='49/3141107.htm'>A low power environmental wireless radiation monitoring system by using 920MHz frequency band</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>613</div><a href='49/594185.htm'>A low power error detection in the Chien Search Block for Reed-Solomon code</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>614</div><a href='49/481644.htm'>A Low Power Error Detection Technique for Floating-Point Units in Embedded Applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>615</div><a href='49/149807.htm'>A low power fall detection and activity monitoring system for nursing facilities and hospitals</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>616</div><a href='49/2040998.htm'>A Low Power Fast Settling CMOS S&H utilizing Auxiliary Slew Circuits</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>617</div><a href='49/383758.htm'>A low power fault secure timer implementation based on the Gray encoding scheme</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>618</div><a href='49/3478087.htm'>A Low Power Fault Tolerant Reversible Decoder Using MOS Transistors</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>619</div><a href='49/1712330.htm'>A low power fault-tolerance architecture for the kernel density estimation based image segmentation algorithm</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>620</div><a href='49/1917871.htm'>A low power feedback LNA for UWB using current bleeding technique</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>621</div><a href='49/3254027.htm'>A low power FIR filter design technique using dynamic reduced signal representation</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>622</div><a href='49/686220.htm'>A low power FIR filter structure based on a modified distributed arithmetic algorithm</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>623</div><a href='49/1599063.htm'>A low power FIR filtering core</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>624</div><a href='49/766930.htm'>A low power flash ADC system with fast data compression ASIC for a balloon-borne experiment</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>625</div><a href='49/2190688.htm'>A low power flash ADC system with fast data compressor ASIC for a balloon-borne experiment</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>626</div><a href='49/119292.htm'>A low power flash ADC with Wallace tree encoder</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>627</div><a href='49/1618751.htm'>A low power flexible analog baseband for low-IF Zigbee receiver</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>628</div><a href='49/1576518.htm'>A low power flexible PGA with DC offset cancellation for software defined radio front-ends</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>629</div><a href='49/3394794.htm'>A low power floating point accumulator</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>630</div><a href='49/1787843.htm'>A low power folded cascade low noise amplifier for multistandard wireless applications</a></div>
        <div class='valueDiv recordListRow'><div class='rowCountCircle'>631</div><a href='49/819433.htm'>A Low Power Folded Mixer for UWB System Applications in 0.18-<formula formulatype= \\mu m CMOS Technology
632
A Low Power Folded Mixer Using Even Harmonic Technology
633
A Low Power Folded RF Front-end with Low Flicker Noise for Direct Conversion Receiver
634
A Low Power Folded RF Front-End with Merged LNA and Mixer for ZigBee/Bluetooth
635
A low power four transistor Schmitt Trigger for asymmetric double gate fully depleted SOI devices
636
A low power FPGA routing architecture
637
A Low Power Frequency Multiplication Technique for ZigBee Transciever
638
A low power frequency synthesizer chip with aperture phase detector and phase to analog converter
639
A Low Power Frequency Synthesizer for 60-GHz Wireless Personal Area Networks
640
A low power frequency synthesizer for biosensor applications in the MedRadio band
641
A low power frequency synthesizer with an integrated negative transconductance LC-tuned VCO
642
A low power front-end prototype for silicon pixel detectors with 100ps time resolution
643
A low power front-end receiver design for sphygmus sensor using pulse-repetition period modulation
644
A low power FSK receiver for space applications
645
A low power full accuracy MPEG1 audio layer III (MP3) decoder with on-chip data converters
646
A low power full accuracy MPEG1 audio layer III (MP3) decoder with on-chip data converters
647
A Low Power Fully CMOS Integrated RF Transceiver IC for Wireless Sensor Networks
648
A low power fully integrated analog baseband circuit with variable bandwidth for 802.11 a/b/g WLAN
649
A low power fully integrated bandpass operational amplifier for biomedical neural recording applications
650
A Low Power Fully Programmable 1MHz Resolution 2.4GHz CMOS PLL Frequency Synthesizer
651
A low power GaAs front-end IC with current-reuse configuration using 0.15 /spl mu/m gate MODFETs
652
A low power GaAs MESFET monolithic downconverter for digital handheld telephone applications
653
A Low Power Gilbert Mixer for 10GH Application Using TSMC 0.18/spl mu/m CMOS RF Technology
654
A low power Gm-C complex filter for ZigBee receiver
655
A low power GPS receiver architecture
656
A low power GPS/Galileo/GLONASS receiver in 65nm CMOS
657
A Low Power Grid-Based Cluster Routing Algorithm of Wireless Sensor Networks
658
A low power hardware implementation of S-Box for Advanced Encryption Standard
659
A low power hardware/software partitioning approach for core-based embedded systems
660
A low power hearing aid computing platform using lightweight processing elements
661
A Low Power Heterogenous Reconfigurable Architecture For Embedded Generic Finite State Machines
662
A low power high accuracy CMOS time-to-digital converter
663
A low power high CMRR CMOS instrumentation amplifier for Bio-impedance Spectroscopy
664
A low power high date rate ASK IF receiver
665
A Low Power High Density Double Edge Triggered Flip Flop for Low Voltage Systems
666
A low power high gain CMOS LNA for UWB receivers
667
A low power high gain low noise amplifier for wireless applications
668
A low power high GBW Fully Differential subthreshold CMOS opamp for CT ΔΣ modulators
669
A low power high linearity CMOS folded mixer for WiMAX application
670
A low power high linearity phase interpolator design for high speed IO interfaces
671
A low power high performance CMOS voltage-mode quaternary full adder
672
A low power high performance design for JPEG Huffman decoder
673
A low power high performance distributed DCT architecture
674
A Low Power High Performance Optical Interconnect Architecture for Exascale Systems
675
A Low Power High Performance Radix-4 Approximate Squaring Circuit
676
A Low Power High Performance Register-Controlled Digital DLL for 2Gbps x32 GDDR SDRAM
677
A low power high performance switched-current multiplier
678
A Low Power High Precision Burst-Mode CMOS Clock Recovery Circuit for 28.8 Mb/s Passive Optical Network
679
A low power high reliability dual-path noise-cancelling LNA for WSN applications
680
A low power high resolution digital PWM with process and temperature calibrations for digital controlled DC-DC converters
681
A Low Power High Resolution Thermoelectrically Cooled Si(Li) Spectrometer
682
A low power high spectral purity frequency translational loop for wireless applications
683
A low power high speed accumulator for DDFS applications
684
A low power high speed charge sharing LECTOR comparator
685
A low power high speed Class-B buffer amplifier for flat panel display application
686
A low power high speed dual data rate acquisition system using FPGA
687
A low power high speed envelope detector for serial data systems in 45nm CMOS
688
A low power high speed error correction code macro using complementary pass transistor logic circuit
689
A low power high speed readout circuit for 320×320 IRFPA
690
A low power high speed ROIC design for 1024×1024 IRFPA with novel readout stage
691
A Low Power Highly Associative Cache for Embedded Systems
692
A low power highly linear 2.4 GHz CMOS receiver front-end using current amplifier
693
A low power high-side current sense SAR ADC for automotive applications
694
A Low Power High-Speed 8-Bit Pipelining CLA Design Using Dual-Threshold Voltage Domino Logic
695
A low power high-speed programmable divider for GHz frequency synthesizer
696
A low power hybrid clock gating technique for high frequency applications
697
A low power hybrid MTJ/CMOS (4-2) compressor for fast arithmetic circuits
698
A low power hybrid posture monitoring system
699
A Low Power Hybrid Wind Scatterometer
700
A low power IC for efficient de-interlacing based on refined motion adaptive method
701
A low power IC to enable optical communications in a robotic swarm
702
A low power imaging and obstacle avoidance sonar for small UUVs
703
A low power implementation for the motion estimation processor
704
A low power implementation for the transmit path of a UWB transceiver
705
A low power implementation of a W-CDMA receiver on an ultra low power DSP
706
A Low Power Implementation of H.264 Adaptive Deblocking Filter Algorithm
707
A low power implementation of PSK modems in FPGA with reconfigurable filter and digital NCO using PR for SDR and CR applications
708
A Low Power Impulse Radio Design for Body-Area-Networks
709
A low power independent component analysis processor in 90nm CMOS technology for portable EEG signal processing systems
710
A low power inductive-coupled transceiver for inter-chip communication
711
A Low Power Inductorless LNA With Double {\\rm G} _{\\rm m} Enhancement in 130 nm CMOS
712
A low power industrial communication unit
713
A Low Power Information Redundant Concurrent Error Detecting Asynchronous Processor
714
A Low Power Injection Locked LC-Tank Oscillator With Current Reused Topology
715
A low power injection-locked divider for body sensor network
716
A low power integrated bowel sound measurement system
717
A Low Power Integrated CMOS Transmitter for BCI system
718
A low power intelligent video-processing sensor
719
A low power interface circuit design for a CMOS based smart optical sensor
720
A low power interface circuit for resistive sensors with digital offset compensation
721
A low power interference robust IR-UWB transceiver SoC for WBAN applications
722
A low power JPEG image compression IC for wireless ingestible endoscopy
723
A Low Power JPEG2000 Encoder With Iterative and Fault Tolerant Error Concealment
724
A Low Power Ka-Band Receiver Front-End in 0.13μm SiGe BiCMOS for Space Transponders
725
A low power Ka-band SiGe HBT VCO using line inductors
726
A low power laser rangefinder for autonomous robot applications
727
A low power layered decoding architecture for LDPC decoder implementation for IEEE 802.11n LDPC codes
728
A Low Power Light Weight Wireless Multichannel Microsystem for Reliable Neural Recording
729
A low power linear output current-mediated CMOS imager
730
A Low Power Linear Phase Digital FIR Filter for Wearable ECG Devices
731
A Low Power Linear Phase Programmable Long Delay Circuit
732
A low power linearity-ratio-independent DAC with application in multi-bit ΔΣ ADCs
733
A low power listening MAC with scheduled wake up after transmissions for WSNs
734
A low power LNA for bluetooth low energy application with consideration of process and mismatch
735
A low power LNA using miniature 3D inductor without area penalty of passive components
736
A Low Power LNA-Phase Shifter With Vector Sum Method for 60 GHz Beamforming Receiver
737
A low power localization architecture and system for wireless sensor networks
738
A Low Power Localized 2T1R STT-MRAM Array With Pipelined Quad-Phase Saving Scheme for Zero Sleep Power Systems
739
A low power logarithmic A/D converter
740
A Low Power Logic-Compatible Multi-Bit Memory Bit Cell Architecture With Differential Pair and Current Stop Constructs
741
A low power lookup technique for multi-hashing network applications
742
A low power low cost 2.45 GHz ECRIS for the production of multiply charged ions
743
A low power low cost fully integrated UHF RFID reader with 17.6dBm output P1dB in 0.18 µm CMOS process
744
A low power low flicker noise merged balun LNA and mixer for 5.2GHz wireless LAN receivers
745
A low power low frequency oscillator for driving Electrolarynx
746
A low power low inaccuracy linearity-compensated temperature sensor for attachable medical devices
747
A low power low niose AFE with continuous time self-calibration filters for ECG monitor and heart beat detection
748
A low power low noise amplifier for a 128 channel detector read-out chip
749
A low power low noise amplifier for biomedical applications
750
A low power low noise amplifier for portable GPS receivers
751
A Low Power Low Noise Amplifier for Ultra Wideband Applications
752
A low power low noise capacitively coupled chopper instrumentation amplifier in 130 nm CMOS for portable biopotential acquisiton systems
753
A low power low noise CMOS amplifier for Bluetooth applications
754
A low power low noise current starved CMOS VCO for PLL
755
A low power low noise figure GPS/GALILEO front-end for handheld applications in a 0.35 /spl mu/m SiGe process
756
A low power low noise OTA with adjustable gain PID feedback network
757
A low power low noise VCO and a high gain LNA for WSN in 130nm CMOS RF technology
758
A low power low phase noise CMOS voltage-controlled oscillator
759
A low power low phase noise fractional-N synthesizer with linearization and mismatch noise shaping techniques for sub-GHz multi-band transceiver with narrow channel spacing
760
A low power low phase noise wide switched tuned band LC VCO for S band applications
761
A low power low voltage 16 bit audio ΣΔ modulator
762
A low power low voltage class D amp based on sigma-delta and bang-bang control
763
A low power low voltage current-mode A/D and d/a converters for DSP system
764
A low power low voltage gain controllable sigma-delta modulator
765
A low power low voltage mixer for 2.4GHz applications in CMOS-90nm technology
766
A Low Power Low Voltage Rectifier Circuit
767
A Low- Power Low-Voltage VCO with Wide Range Tuning Controlled by Adaptive Neural Network
768
A low power MAC protocol for wireless sensor monitoring network
769
A Low Power Magnetic Channel with Dipole Compensation
770
A low power magnetometer for vehicle detection
771
A low power many-core SoC with two 32-core clusters connected by tree based NoC for multimedia applications
772
A low power maximum power point tracker and power management system in 0.5µm CMOS
773
A low power MCU design for battery-less TPMS SoC
774
A low power measurement system for the atmospheric electric field
775
A low power medium access control protocol for wireless medical sensor networks
776
A low power memory cell design for SEU protection against radiation effects
777
A low power memory cell for main frame memories
778
A low power merge cell processor for real-time spike sorting in implantable neural prostheses
779
A low power method for compliance testing of central office high current DC power distribution plants
780
A Low Power Method of Directional Antenna Tuning and Adjustment
781
A Low Power Micro Deep Brain Stimulation Device for Murine Preclinical Research
782
A Low Power MICS Band Phase-Locked Loop for High Resolution Retinal Prosthesis
783
A low power MICS band transceiver architecture for implantable devices
784
A low power MICS band transceiver architecture for implantable devices
785
A low power millimetre-wave VCO in 0.18 µm SiGe BiCMOS technology
786
A low power miniaturized 1.95mm2 fully integrated transceiver with fastPLL mode for IEEE 802.15.4/bluetooth smart and proprietary 2.4GHz applications
787
A low power miniaturized CMOS-based continuous glucose monitoring system
788
A low power mixer with LC phase shifters for a single-end input
789
A low power MMSE receiver architecture for multi-carrier CDMA
790
A low power mm-wave oscillator using power matching techniques
791
A Low Power Mobile Camera Processor Design with SubLVDS Interface
792
A low power Mobile TV tuner baseband for CMMB application
793
A low power monolithic AGC with automatic DC offset cancellation for direct conversion hybrid CDMA transceiver used in telemetering
794
A low power monolithic subsampled phase-locked loop architecture for wireless transceivers
795
A low power MPEG I/II layer 3 audio decoder
796
A low power MPEG-1/2 Layer I/II/III audio decoder with downsampling mode
797
A low power MPEG-2 decoder chip-set for set-top box
798
A low power multi-band selector DLL with wide-locking range
799
A low power multi-bit flip-flop design for WSN nodes
800
A Low Power Multi-Channel Single Ramp ADC With Up to 3.2 GHz Virtual Clock
801
A Low Power Multi-Channel Single Ramp ADC with up to 3.2 GHz Virtual Clock
802
A low power multi-frequency current mode lock-in amplifier for impedance sensing
803
A low power multimedia processor implementing dynamic voltage and frequency scaling technique
804
A low power multimedia SoC with fully programmable 3D graphics and MPEG4/H.264/JPEG for mobile devices
805
A low power multimedia system based on the microscopic dynamic voltage scaling (DVS)
806
A low power multi-mode baseband for direct-conversion WLAN transceiver and DVB-H
807
A low power multi-mode CMOS image sensor with integrated on-chip motion detection
808
A low power multiphase all-digital phase locked loop with reusing SAR
809
A low power multiple-gate mixer for WiMAX system
810
A low power multiplexer based pass transistor logic full adder
811
A low power multiplier architecture based on bypassing technique for digital filter
812
A low power multi-standard sigma-delta ADC for WCDMA/GSM/Bluetooth applications
813
A low power multi-voltage control technique with fast-settling mechanism for low dropout regulator
814
A low power network interface for network on chip
815
A low power neural recording amplifier with programmable gain and bandwidth
816
A low power NMOS transmit/receive IC filter for PCM telephony
817
A low power NoC router using the marching memory through type
818
A low power noise cancelling LNA for UWB receiver front end
819
A low power noncoherent CMOS UWB transceiver ICs
820
A Low Power Non-Volatile Memory Element Based on Copper in Deposited Silicon Oxide
821
A low power NORA circuit design technique based on charge recycling
822
A low power normalized-LMS decision feedback equalizer for a wireless packet modem
823
A low power ocean data recorder
824
A low power OFDM receiver monitoring subcarrier SNRs in time-varying fading channels
825
A low power open multimedia application platform for 3G wireless
826
A low power optimal motion search algorithm
827
A low power oscillator based TDC with in-system non-linearity correction
828
A low power oscillator based temperature sensor for RFID applications
829
A low power OTA with adaptive biasing and common-mode compensation
830
A low power parallel architecture variable order b-spline interpolator for fractional sampling rate conversion
831
A low power parity CAM for asynchronous transfer mode(ATM) switch application
832
A low power pervasive RFID identification system for medication safety in hospital or home tele-care
833
A low power phase change memory using low thermal conductive doped-Ge2Sb2Te 5 with nano-crystalline structure
834
A low power phase change memory using thermally confined TaN/TiN bottom electrode
835
A Low Power Phase-Change Random Access Memory using a Data-Comparison Write Scheme
836
A Low Power Pipeline A/D Converter by Using Double Sampling and Averaging Techniques
837
A Low Power Pipelined ADC Using Capacitor and Opamp Sharing Technique With a Scheme to Cancel the Effect of Signal Dependent Kickback
838
A low power pipelined analog-to-digital converter using series sampling capacitors
839
A low power pipelined maximum likelihood detector for 4/spl times/4 QPSK MIMO wireless communication systems
840
A low power PLL quadrature frequency synthesizer for Zigbee applications
841
A low power portable electromagnetic posture monitoring system [for scoliosis treatment]
842
A low power potentiostat for implantable glucose sensor tag
843
A low power power sensor for optical communication
844
A low power preamplifier latch based comparator using 180nm CMOS technology
845
A low power precise current balance LED driver with −0.228% ∼ 0.198% imbalance among three output channels
846
A low power programmable band gap reference circuit with subthreshold MOSFETs
847
A low power programmable band-pass filter with novel pseudo-resistor for portable biopotential acquisition system
848
A Low Power Programmable CMOS Circuit for Generating Modulated Pulses for UWB Applications
849
A low power programmable FIR filter using sharing multiplication technique
850
A low power programmable frequency divider intended for frequency synthesizer designed in accordance with IEEE 802.15.4a standard
851
A low power programmable gain amplifier with 70-dB control range in CMOS technology
852
A low power programmable gain amplifier with wide dynamic range
853
A low power programmable gain high PAE K-/Ka-band stacked amplifier in 0.18 µm SiGe BiCMOS technology
854
A low power programmable logic device reconfigurable for 3.3 V or 5.0 V operation during and after fabrication
855
A low power programmable PRBS generator and a clock multiplier unit for 10 Gbps serdes applications
856
A low power protocol to broadcast real-time data traffic in a clustered ad hoc network
857
A low power prototype for a 3D discrete wavelet transform processor
858
A low power pseudo-random BIST technique
859
A low power pseudo-random BIST technique
860
A low power PSK receiver for space applications in 0.35-μm SOI CMOS
861
A low power Pulse Frequency Modulated UWB radar transmitter concept based on switched injection locked harmonic sampling
862
A low power pulse signal acquisition ASIC for MEMS switch
863
A Low Power Pulsed Edge-Triggered Latch for Survivor Memory Unit of Viterbi Decoder
864
A Low Power Quad 25.78-Gbit/s 2.5 V Laser Diode Driver Using Shunt-Driving in 0.18 µm SiGe-BiCMOS
865
A low power quad phase-locked loop for multiple SerDes standards
866
A low power quadrature and divide-by-two frequency VCO design mixer with charge-injection for biomedical applications
867
A low power quadrature class D LC oscillator with 0.4V supply
868
A low power quadrature direct digital frequency synthesizer using non-linear resistor string DACs
869
A Low Power QXGA Camera Signal Processor for Mobile Camera Applications
870
A Low Power Radio Telemetry Achieving Very High Data Rates at Biocompatible Frequencies
871
A low power radix-2 FFT accelerator for FPGA
872
A low power radix-4 dual recoded integer squaring implementation for use in design of application specific arithmetic circuits
873
A low power RC time constant auto-tuning circuit for RC-integrators in high linearity continuous-time delta sigma modulators
874
A Low Power Read/Write Transponder IC for High Performance Identification Systems
875
A low power readout circuit for 640×512 IRFPA with dynamic windowing readout
876
A Low Power Real-time On-Chip Power Sensor in 45-nm SOI
877
A low power real-time video processing imager
878
A Low Power Receiver Architecture for Mobile Biomedicine Systems
879
A low power receiver architecture for Near Field Communication readers
880
A low power reconfigurable 12-tap FIR interpolation filter with fixed coefficient sets
881
A low power reconfigurable accelerator using a back-gate bias control technique
882
A low power reconfigurable DCT architecture to trade off image quality for computational complexity
883
A low power reconfigurable heterogeneous architecture for a mobile SDR system
884
A low power reconfigurable heterogeneous architecture for a mobile SDR system
885
A low power reconfigurable I/O DRAM macro with single bit line writing scheme
886
A low power register file with asynchronously controlled read-isolation and software-directed write-discarding
887
A low power register scheduling and allocation algorithm for multiple voltage
888
A low power reprogrammable parallel processing VLSI architecture for computation of B-spline based medical image processing system for fast characterization of tiny objects suspended in cellular fluid
889
A low power resistive load 64 kbit CMOS RAM
890
A low power RF CMOS direct-conversion transmitter using high conversion gain front end for IEEE 802.15.4 standard
891
A low power RF front-end for L1/E1 GPS/Galileo and GLONASS signals in CMOS 65nm technology
892
A low power ROIC design for 1024×1024 IRFPA
893
A low power ROM-less direct digital frequency synthesizer with preset value pipelined accumulator
894
A Low Power Routing and Topology Control Protocol for Cluster-based Environmental Wireless Sensor Networks: The FLORA Project Case
895
A low power sample-and-hold amplifier
896
A low power S-band receiver using GaAs pHEMT technology
897
A low power scheduler using game theory
898
A low power scheduling method using dual Vdd and dual Vth
899
A low power scheduling methodology under the timing constraints
900
A low power scheduling scheme with resources operating at multiple voltages
901
A low power scheduling scheme with resources operating at multiple voltages
902
A low power Schmitt Trigger design using SBT technique in 180nm CMOS technology
903
A low power second order current mode continuous time sigma delta ADC with 98 dB SNDR
904
A low power secure logic style to counteract differential power analysis attacks
905
A low power seizure detection processor based on direct use of compressively-sensed data and employing a deterministic random matrix
906
A low power self-capacitive touch sensing analog front end with sparse multi-touch detection
907
A low power self-sampling IF FSK receiver
908
A Low Power Sense Amplifier Flip-Flop With Balanced Rise/Fall Delay
909
A Low Power Sensor-Signal Read-Out Circuit for Very Low-Level Chemical Detection
910
A low power sensor-signal read-out circuit powered by inductive line
911
A low power SHA-less pipelined ADC used in DVB-S2
912
A Low Power Side Channel Attack Resistant Data Flow Rindael Encryption ASIC
913
A low power SiGe BiCMOS baseband circuitry for a direct conversion CMMB tuner IC
914
A low power SiGe GSM/DCS/WCDMA receiver
915
A low power SiGe HBT LNA For UWB applications
916
A low power SiGe HBT LNA utilizing serial inductance for wideband matching
917
A low power sigma-delta modulator using charge-steering Opamp for Bluetooth application
918
A Low Power Sigma-Delta Modulator Using Class-C Inverter
919
A low power signal-swing suppressing strategy using time-multiplexed differential data-transfer (TMD) scheme
920
A low power SIMD architecture for affine-based texture mapping
921
A Low Power Sinc3 Filter for ΣΔ Modulators
922
A low power single ended input differential output low noise amplifier for L1/L2 band
923
A low power single phase clock distribution using VLSI technology
924
A low power sinusoidal clock
925
A low power smart CMOS image sensor for surveillance applications
926
A low power smart vision system based on active pixel sensor integrated with programmable neural processor
927
A Low Power SOC Architecture for The V2.0+EDR Bluetooth
928
A low power SoC bus with low-leakage and low-swing technique
929
A low power soft error suppression technique for dynamic logic
930
A low power SOI adder using reduced-swing charge recycling circuits
931
A low power SOI MOSFET photodetector with a nanometer scale wire for highly integrated circuit
932
A Low Power SRAM Architecture Based on Segmented Virtual Grounding
933
A low power SRAM using auto-backgate-controlled MT-CMOS
934
A low power strategy for future mobile terminals
935
A low power sub 1V 3.5-ppm/°C voltage reference featuring subthreshold MOSFETs
936
A Low Power Sub- \\mu W Chemical Gilbert Cell for ISFET Differential Reaction Monitoring
937
A low power sub-1 V CMOS voltage reference
938
A low power subband video decoder architecture
939
A low power Successive Approximation A/D converter based on PWM technique
940
A low power supply and high frequency driver design for DC-DC converter applications
941
A low power survivor memory unit for sequential Viterbi-Decoders
942
A low power switching power supply for self-clocked systems
943
A low power symmetrically pulsed dual edge-triggered flip-flop
944
A low power system with adaptive data compression for wireless monitoring of physiological signals and its application to wireless electroencephalography
945
A low power TDC with 0.5ps resolution for ADPLL in 40nm CMOS
946
A low power technique based on sign bit reduction
947
A low power technology mapping method for Adaptive Logic Module
948
A low power temperature insensitive voltage supervisory circuit in metal gate technology
949
A low power temperature sensor based on a voltage to time converter cell
950
A low power temperature sensor for passive RFID tag
951
A Low Power Temperature to Frequency Converter for the On-Chip Temperature Measurement
952
A low power testing architecture for test-per-clock BIST
953
A Low Power Test-per-Clock BIST Scheme through Selectively Activating Multi Two-Bit TRCs
954
A low power thermal protection topology
955
A low power third order delta-sigma modulator for digital audio applications
956
A low power thyristor-based CMOS programmable delay element
957
A low power time-domain CMOS temperature sensor
958
A low power time-multiplexed SC speech spectrum analyzer
959
A low power time-of-arrival ranging front end based on a 8-channel 2.2mW, 53ps single-shot-precision Time-to-Digital converter
960
A low power time-to-digital-converter in 0.13µm CMOS with 100ps time resolution capability for silicon pixel radiation detector
961
A Low Power TLB Structure for Embedded Systems
962
A low power topology derived from flyback with active clamp based on a very simple transformer
963
A low power trainable analogue neural network classifier chip
964
A low power transceiver design for short distance communication
965
A low power Transimpedance Amplifier using inductive feedback approach in 90nm CMOS
966
A Low Power Transmitter for Phase-Shift Keying Modulation Schemes
967
A low power transponder IC for high performance identification systems
968
A low power transregional MOSFET model for complete power-delay analysis of CMOS gigascale integration (GSI)
969
A Low Power Tunable Delay Element Suitable for Asynchronous Delays of Burst Information
970
A low power tuning scheme for low frequency continuous time filters
971
A low power turbo decoder architecture
972
A low power turbo/Viterbi decoder for 3GPP2 applications
973
A low power UART design based on asynchronous techniques
974
A low power ultra-wideband CMOS LNA for 3.1–10.6-GHz wireless receivers
975
A low power ultra-wideband CMOS LNA with inter stage technique
976
A low power unified cache architecture providing power and performance flexibility
977
A low power unsupervised spike sorting accelerator insensitive to clustering initialization in sub-optimal feature space
978
A low power UWB direct conversion receiver with pulse detectors
979
A low power UWB very low noise amplifier using an improved noise reduction technique
980
A low power UWB-LNA using active dual loop negative feedback in CMOS 0.13µm
981
A low power variable gain amplifier with 50-dB dynamic range
982
A low power variable GBW opamp from 60MHz to 2GHz for multi-standard receivers
983
A low power variable length decoder for MPEG-2 based on nonuniform fine-grain table partitioning
984
A low power variable sized CSLA implementation using GDI logic in 45nm SOI technology
985
A Low Power V-Band CMOS Frequency Divider With Wide Locking Range and Accurate Quadrature Output Phases
986
A Low Power V-band Low Noise Amplifier Using 0.13-μm CMOS Technology
987
A low power VCO using active inductor for low phase noise and wide tuning range
988
A Low Power VHF Front End Circuit with High Overload Capability
989
A Low Power VHF Radar for Auroral Research
990
A low power video encoder with power, memory and bandwidth scalability
991
A low power video frequency continuous time filter
992
A low power video processor
993
A low power video-rate pyramid VQ decoder
994
A Low Power Viterbi Decoder Implementation using Scarce State Transition and Path Pruning Scheme for High Throughput Wireless Applications
995
A low power VLIW processor generation method by means of extracting non-redundant activation conditions
996
A low power VLSI architecture for mesh-based video motion tracking
997
A low power VLSI architecture for multistage interval-based motion estimation (MIME) algorithm
998
A low power VLSI architecture of SOVA-based turbo-code decoder using scarce state transition scheme
999
A low power VLSI compatible approach for retina tree biometric matching
1000
A low power VLSI design paradigm for iterative decoders
بازگشت